TWI391986B - 於晶圓邊緣作局部區域之壓印 - Google Patents
於晶圓邊緣作局部區域之壓印 Download PDFInfo
- Publication number
- TWI391986B TWI391986B TW96111829A TW96111829A TWI391986B TW I391986 B TWI391986 B TW I391986B TW 96111829 A TW96111829 A TW 96111829A TW 96111829 A TW96111829 A TW 96111829A TW I391986 B TWI391986 B TW I391986B
- Authority
- TW
- Taiwan
- Prior art keywords
- template
- substrate
- wafer
- adhesion promoter
- edge
- Prior art date
Links
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/32—Component parts, details or accessories; Auxiliary operations
- B29C43/58—Measuring, controlling or regulating
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/003—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/02—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
- B29C43/021—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y40/00—Manufacture or treatment of nanostructures
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/02—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
- B29C43/021—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
- B29C2043/023—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
- B29C2043/025—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/32—Component parts, details or accessories; Auxiliary operations
- B29C43/58—Measuring, controlling or regulating
- B29C2043/5808—Measuring, controlling or regulating pressure or compressing force
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B29—WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
- B29C—SHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
- B29C43/00—Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
- B29C43/32—Component parts, details or accessories; Auxiliary operations
- B29C43/58—Measuring, controlling or regulating
- B29C2043/5825—Measuring, controlling or regulating dimensions or shape, e.g. size, thickness
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Mechanical Engineering (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Theoretical Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Mathematical Physics (AREA)
- Shaping Of Tube Ends By Bending Or Straightening (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Description
本案要請求No.60/788,781美國暫時專利申請案的權益,其內容併此附送。
本發明係有關於晶圓邊緣作局部區域之壓印。
奈米製造包括製造非常小的結構物,例如具有奈米級或更小的特徵細構者。奈米製造已具有可觀影響之一領域是在積體電路的處理。由於半導體製造產業不斷地致力於更大的製造產能,並同時逐增形成於一基材上之每單位面積的電路,故奈米製造變得愈來愈重要。奈米製造能提供更大的製程控制,同時容許更多地縮減所製成結構物的最小特徵尺寸。其它已使用奈米製造的研發領域包括生物科技、光學科技,及機械系統等等。
一種舉例的奈米製造技術一般係稱為壓印微影術。舉例的壓印微影製法曾被詳述於許多公開資料中,例如2004/0065976美國專利申請公開案即美國專利申請案No.10/264,960,其名稱為“用以在一基材上排列細構來複製具有最小尺寸可變性之細構的方法和模具”;2004/0065252美國專利申請公開案即美國專利申請案No.10/264,926,其名稱為“在一基材上形成一層以便製造量測標準的方法”;及No.6,936,194美國專利案,其名稱為“用於壓印微影製法的功能性圖案化材料”,所有該等各案皆已讓渡給本發明的受讓人,且其內容皆併此附送。
在上述各美國專利申請公開案及美國專利案中所揭的壓印微影技術皆包括在一可聚合化層中形成一凹凸圖案,並將一對應於該凹凸圖案的圖案移轉至一底下的基材。該基材可被置放在一作動平台上來獲得一妥當的位置以便於其圖案化。其中,有一模板會被使用而與該基材間隔分開,並有一可成形的液體存在於該模板與基材之間。該液體會固化來形成一固化層,而會有一圖案記錄其中,其係順應於接觸該液體之模板的表面形狀。該模板嗣會由該固化層釋離,而使該模板與基材間隔分開。該基材和固化層嗣會被進行各製程,以將一對應於該固化層之圖案的紋路影像移轉至該基材中。
依據本發明之一實施例,係特地提出一種在一壓印微影系統,一種方法包含:沒有邊緣珠粒地沈積一移轉層於一基材上,其中該移轉層在一圖案壓印期間當一壓印模板朝該基材降低時係被用作一助黏劑。
依據本發明之一實施例,係特地提出一種壓印印微影系統,包含一晶圓;一助黏劑沈積在該晶圓上而沒有高凸的珠粒在其靠近該晶圓之邊緣的邊緣處;及一壓印微影模板係與該晶圓間隔分開而會被朝該晶圓降低以將一圖案壓印在一被沈積在該助黏劑上的壓印材料中。
第1圖為一微影系統的簡化側視圖,其具有一模板與一基材間隔分開;第2A圖示出一邊緣珠粒在一晶圓上;第2B圖示出沒有邊緣珠粒在一晶圓上;第3圖為一模板透視圖,該模板上的各個晶粒位在一分開的凸台上俾在邊緣場印刷期間將壓印液體侷限在一特定晶粒內;第4圖為一基材邊緣之壓印場的示意圖,該基材具有填滿壓印流體的完整晶粒(陰影標示者);第5圖為一模板用於壓印一基材之部分壓印場的透視圖;第6圖為一模板中位在與一基材相重疊之部分的簡化側視圖,在該基材上之一單一晶粒壓印期間,一壓力中心係偏離該模板中心。
請參閱第1圖,一用以在一基材12上形成一凹凸圖案的系統8包含一平台10其上係撐持該基材12,及一模板14其上具有一圖案化表面18。在另一實施例中,基材12可被連接於一基材固持件(未示出),該基材固持件(未示出)可為任何固持件,包括但不限於真空和電磁式。
模板14及/或模16可由如下材料製成,包括但不限於:熔凝的二氧化矽、石英、矽、有機聚合物、矽氧烷聚合物、硼矽酸鹽玻璃、氟烴聚合物、金屬,及硬化的藍寶石。如所示,圖案化表面18包含由許多間隔分開的凹部17和凸部19所界定的特徵細構。但在另一實施例中,該圖案化表面18可為實質光滑及/或平坦的。圖案化表面18可界定一原始圖案,其會形成要被製設在基材12上之圖案的基礎。
模板14可被連接於一壓印頭20以便於模板14和模16的移動。在另一實施例中,模板14可被連接於一模板固持件(未示出),該模板固持件(未示出)可為任何固持件,包括但不限於真空和電磁式。一流體配佈系統22會被連接而可被選擇性地定位來與基材12導通流體,俾將聚合材料24沈積其上。應請瞭解該聚合材料24可被使用任何習知技術來沈積,例如滴配、旋塗、沾塗、化學蒸氣沈積(CVD)、物理蒸氣沈積(PVD)等等。
一能量源26會被連接來沿一路徑30導送能量28。壓印頭20和平台10係被構設成可分別使該模16和基材12呈重疊排列並位於路徑30中。該壓印頭20和平台10之任一者,或其二者,皆可改變該模16與基材12之間的距離俾在其間界定一所須容積,其會被聚合材料24填滿。
通常,聚合材料24係在該所須容積被界定於模16與基材12之間之前先被沈積於基材12上。但,聚合材料24亦可在該所須容積已獲得之後才來填滿該容積。在該所須容積填滿聚合材料24之後,該能量源26會產生能量28,例如寬帶能量其會使聚合材料24順應於基材12之表面25和圖案化表面18的形狀來固化及/或交鏈,而在基材12上界定一圖案化層50。
該寬帶能量可包含一光化性成分,包括但不限於:紫外線波長、熱能量、電磁能量、可見光等等。所使用的光化性成分典型是取決於形成壓印層12的材料。此製程的控制係由一處理器32來規制,其會與平台10、壓印頭20、流體配佈系統22、能量源26等傳通資料,而依一儲存在記憶體34內之電腦可讀的程式來操作。
於上所述者亦可被使用於美國專利案No.6,932,934,名稱為“在一壓印微影製程中之不連續膜的形成”,和美國專利申請公開案No.2004/0124566,即美國專利申請案No.10/194,991,名稱為“逐步且重複的壓印微影製法”中所述的壓印微影製法和系統,該兩案的內案併此附送。
本發明涉及壓印邊緣場和晶粒所附帶的問題,因為該等部份場含有完整的晶粒可製成產出元件,而所產出晶粒的性能須像在一晶圓內部之晶粒一樣地優良乃是很重要的。因此,即使該場僅有一邊緣或一邊角在該晶圓上,亦應有儘可能較多的對準記號設在該等位置處以使對準功能最大化。最好能具有X、Y及θ的對準,並確保mag_x、mag_y和其它的誤差等由前一內部場至該邊緣場皆沒有改變;則其壓印功能將會良好地運作。於此所述之八光道i-MAT及i-MAT設計可容許在對準記號位置有非常高的調適性,而得被使用在工具上來達成該目標。一放大率修正之例係被述於美國專利申請案No.11/142,839,名稱為“在奈米規格製造時改變一基材尺寸的裝置”,及美國專利申請案No.11/142,834,名稱為“在奈米規格製造時改變一基材尺寸的方法”中,該兩案的內容併此附送。
被用作助黏劑的移轉層(例如BARC)會在壓印之前被旋塗在晶圓上。於該旋塗製程中,旋塗材料會濕化該晶圓的邊緣,而造成晶圓邊緣的污染。因此,該移轉層之一細邊界必須被除去,典型係使用一種溶劑(濕邊緣珠粒去除法,如在No.6,494,221美國專利中所揭,其內容併此附送)。此會由於該邊緣珠粒去除程序而導致材料堆高在邊緣處。若此堆高係高於100或200nm,或針對某些壓印製程即使只有50nm高,其亦會使邊緣場的壓印造成困難。第2A圖示出一旋塗材料在一晶圓201上如此堆高的例子。如所示,具有主動壓印區203的模板202將會由於該晶圓201之邊緣處的堆高204而被傾斜頂高。因此,該移轉層材料應被設計成使其邊緣珠粒能被除去而沒有任何堆高。因此,一能被顯影來消除該邊緣珠粒204的可光顯像材料將會較佳。第2B圖示出一模板202在沒有如第2A圖所示之材料堆高的妥當形態下壓印於材料205上。該材料可為一種正調光阻(其被曝照於光子的區域在顯影步驟時會消失),或亦可為負調光阻,其中該整個晶圓除了該邊緣珠粒以外皆會被曝照於光子。一可適用於此情況的正調光阻之例係為i-線光阻,其可由位於Philadelphia,Pennsylvania,的Rohm and Haas’s公司以ULTRA-iTM 123的品名購得。
請參閱第3圖,該模板300可被設計成使各晶粒301位於其各自的凸台上,俾當邊緣場壓印時能將壓印液體限制於特定的晶粒。當壓印一具有至少一完整晶粒的邊緣場時,該等含有完整晶粒的模板凸台會接受該壓印液體,而該部份的晶粒可接受一非反應液體,例如異丙醇,以防止該模板直接接觸該基材,一模板之例曾被述於No.6,696,220美國專利中,其名稱為“用於室溫、低壓之微米和奈米壓印微影術的模板”,內容併此附送。
請參閱第4圖,其係示出一壓印之例,其中只有完整的晶粒(陰影標示者)會填滿壓印流體。於此情況下,所有的壓印場皆可能是可產出的,且一階狀的壓印邊界會被形成。相較於此情況,即使若有一些晶粒並非可產出的,仍必須覆蓋該晶圓的整個表面。在此情況下,所有的部份晶粒,即第4圖中以“P”標示者,將會被壓印,而它們的流體區域會由該晶粒凸台與底下的料層邊界之組合來界定,其會造成一圓形的壓印邊界。
請參閱第5圖,可擇地、所有的部份晶粒亦能被以一大面積的模板來同時地壓印。因為該等晶粒不能被視為產出元件,故一大的模板係“有特定晶粒佈局的”,且其會被特定地設計成具有僅在該等部份晶粒區域中能被使用的凸台。此等模板當蝕回時將具有在該等部份晶粒區域外部和內部的區域,且沒有壓印液體會被置於該等蝕回區域中。因為該等晶粒並不產出,故它們的覆蓋效能並非光學性的。又,它們在該大面積模板中不需具有昂貴之高解析度的特徵細構。例如,該等圖案能被選成使其雖有可相較於該高解析度方案的圖案密度變化,但只具有>400nm的特徵細構以容雷射圖案化。該類似的圖案密度將有助於避免不良的蝕刻及CMP負載效應。一大面積製法之例係被揭述於No.2005/0189676美國專利申請公開案,即美國專利申請案No.10/788,700中,名稱為“用於高產出微影術之以多個分開的次場來進行的整個晶圓或大面積壓印”,內容併此附送。
或者,該流體的配佈必須非常精確以使流體僅只被佈設在該晶圓上之完整晶粒和所存在的部份晶粒之區域上(排除該晶圓的環狀排除區)。必須很小心地修整配佈在該晶圓邊緣的液滴,俾實質地避免液體濕化該模板懸伸超過該晶圓邊緣的部份。例如,已發現長線和光柵等會傾向於沿著它們而將液體引出超過該晶圓的邊界。假使在該晶圓邊緣的細構是在該模板上的孔洞,則此現象較不易發生。因此,較少量的液體應被置於易將液體引出超過該晶圓邊緣的圖案區域中,例如光柵等。
當壓印邊緣場時,作用在該模板上的壓印流體的壓力中心並非在該模板的中心。第6圖示出僅有單一晶粒被壓印,而該壓力中心係可觀地偏離該模板中心的情況。故,在第4圖中之場A的情況下,一可觀的偏差會存在於該壓印的壓力中心與模板中心之間。因此,一被動的撓曲可能會導致斜傾的壓印,而使該殘餘層厚度遍及該場大致呈線性地改變。因此,該壓印方法可使用一主動的撓曲,其中該二傾斜軸心會被以音圈來控制。或者該方法亦可使用一三腳的音圈壓印頭,如在No.2006/0005657美國專利申請公開案,即美國專利申請案No.11/142,825,名稱為“控制一用於奈米規格製造之塊體移動的方法和系統”,及No.11/142,838美國專利申請案,名稱為“用於奈米規格製造的順應裝置”中所揭述者,該兩案內容併此附送。在該各案中,作用於該等音圈上之力會被不對稱地設定來達成一不同於其中心的壓力中心。此乃可供壓印邊緣場並能獲得均一的殘餘層。該殘餘層控制可藉使用膜厚測量並將其反饋以調整配佈體積來被進一步改善。
本發明的上述實施例係為舉例。許多變化和修正可被實施於上述揭露,而仍保留在本發明的範圍內。因此,本發明的範圍不應被上述內容限制,而應參照所附申請專利範圍及其等效實質的完整範圍來決定。
8‧‧‧壓印系統
10‧‧‧平台
12‧‧‧基材
14,202,300‧‧‧模板
16‧‧‧模
17‧‧‧凹部
18‧‧‧圖案化表面
19‧‧‧凸部
20‧‧‧壓印頭
22‧‧‧流體配佈系統
24‧‧‧聚合材料
26‧‧‧能量源
28‧‧‧能量
30‧‧‧路徑
32‧‧‧處理器
34‧‧‧記憶體
201‧‧‧晶圓
203‧‧‧壓印區
204‧‧‧堆高
205‧‧‧材料
301‧‧‧晶粒
P‧‧‧部份晶粒
第1圖為一微影系統的簡化側視圖,其具有一模板與一基材間隔分開;第2A圖示出一邊緣珠粒在一晶圓上;第2B圖示出沒有邊緣珠粒在一晶圓上;第3圖為一模板透視圖,該模板上的各個晶粒位在一分開的凸台上俾在邊緣場印刷期間將壓印液體侷限在一特定晶粒內;第4圖為一基材邊緣之壓印場的示意圖,該基材具有填滿壓印流體的完整晶粒(陰影標示者);第5圖為一模板用於壓印一基材之部分壓印場的透視
圖;第6圖為一模板中位在與一基材相重疊之部分的簡化側視圖,在該基材上之一單一晶粒壓印期間,一壓力中心係偏離該模板中心。
P...部份晶粒
Claims (9)
- 一種使用壓印微影系統在基材上圖案化邊緣場之方法,包含:定位與該基材重疊之一壓印微影模板,使得該基材上之場之一部份係分類為部份場,該壓印微影模板具有至少一對應該基材上之至少一部份場之凸台;沉積可聚合化材料於該壓印微影模板及與該壓印微影模板重疊之每一完整場之間;令該壓印微影模板與該可聚合化材料接觸;對該模板施加一不對稱力量,使得該模板上之壓力中心自該模板之壓力中心偏移;以及固化該可聚合化材料以提供該基材上之一邊緣場圖案。
- 如申請專利範圍第1項之方法,其中該模板上之該壓力中心係偏移至與該壓印微影模板重疊之該可聚合化材料之壓力中心。
- 如申請專利範圍第2項之方法,更包含於沉積該可聚合化材料前施加一移轉層至該基材,其中該移轉層係使用為一助黏劑。
- 如申請專利範圍第3項之方法,其中該移轉層係於未有一邊緣珠粒之情形下沉積。
- 如申請專利範圍第3項之方法,更包含自該移轉層移除一邊緣珠粒。
- 一種壓印微影系統,其包含一晶圓; 一助黏劑係沉積在該晶圓上而沒有高凸的珠粒在其靠近該晶圓之邊緣的邊緣處;一壓印微影模板係與該晶圓間隔分開而會被朝該晶圓降低以將一圖案壓印在一被沉積在該助黏劑上的壓印材料中;及一力量控制系統係組構成提供非對稱力量用以將該壓印微影模板之壓力中心變更至沉積在該助黏劑上之壓印材料的壓力中心。
- 如申請專利範圍第6項之系統,其中該助黏劑包含一可光顯像的材料。
- 如申請專利範圍第7項之系統,其中該助黏劑包含一正調光阻。
- 如申請專利範圍第7項之系統,其中該助黏劑包含一負調光阻。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US78878106P | 2006-04-03 | 2006-04-03 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200746257A TW200746257A (en) | 2007-12-16 |
TWI391986B true TWI391986B (zh) | 2013-04-01 |
Family
ID=38694372
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW96111829A TWI391986B (zh) | 2006-04-03 | 2007-04-03 | 於晶圓邊緣作局部區域之壓印 |
Country Status (3)
Country | Link |
---|---|
US (3) | US7802978B2 (zh) |
TW (1) | TWI391986B (zh) |
WO (1) | WO2007133346A2 (zh) |
Families Citing this family (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7162035B1 (en) | 2000-05-24 | 2007-01-09 | Tracer Detection Technology Corp. | Authentication method and system |
US7630067B2 (en) * | 2004-11-30 | 2009-12-08 | Molecular Imprints, Inc. | Interferometric analysis method for the manufacture of nano-scale devices |
US20070231421A1 (en) | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Enhanced Multi Channel Alignment |
US8999218B2 (en) * | 2005-06-06 | 2015-04-07 | Canon Kabushiki Kaisha | Process for producing member having pattern, pattern transfer apparatus, and mold |
US7906058B2 (en) | 2005-12-01 | 2011-03-15 | Molecular Imprints, Inc. | Bifurcated contact printing technique |
KR101324549B1 (ko) | 2005-12-08 | 2013-11-01 | 몰레큘러 임프린츠 인코퍼레이티드 | 기판의 양면 패턴화를 위한 방법 및 시스템 |
US7670530B2 (en) | 2006-01-20 | 2010-03-02 | Molecular Imprints, Inc. | Patterning substrates employing multiple chucks |
US8142850B2 (en) * | 2006-04-03 | 2012-03-27 | Molecular Imprints, Inc. | Patterning a plurality of fields on a substrate to compensate for differing evaporation times |
TW200801794A (en) | 2006-04-03 | 2008-01-01 | Molecular Imprints Inc | Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks |
US8012395B2 (en) | 2006-04-18 | 2011-09-06 | Molecular Imprints, Inc. | Template having alignment marks formed of contrast material |
US7837907B2 (en) * | 2007-07-20 | 2010-11-23 | Molecular Imprints, Inc. | Alignment system and method for a substrate in a nano-imprint process |
US20090147237A1 (en) * | 2007-12-05 | 2009-06-11 | Molecular Imprints, Inc. | Spatial Phase Feature Location |
US7995196B1 (en) | 2008-04-23 | 2011-08-09 | Tracer Detection Technology Corp. | Authentication method and system |
US20100109201A1 (en) * | 2008-10-31 | 2010-05-06 | Molecular Imprints, Inc. | Nano-Imprint Lithography Template with Ordered Pore Structure |
US8231821B2 (en) * | 2008-11-04 | 2012-07-31 | Molecular Imprints, Inc. | Substrate alignment |
US8432548B2 (en) * | 2008-11-04 | 2013-04-30 | Molecular Imprints, Inc. | Alignment for edge field nano-imprinting |
US8715515B2 (en) * | 2009-03-23 | 2014-05-06 | Intevac, Inc. | Process for optimization of island to trench ratio in patterned media |
JP2011071500A (ja) * | 2009-08-31 | 2011-04-07 | Fujifilm Corp | パターン転写装置及びパターン形成方法 |
JP5296641B2 (ja) * | 2009-09-02 | 2013-09-25 | 東京エレクトロン株式会社 | インプリント方法、プログラム、コンピュータ記憶媒体及びインプリント装置 |
JP5214683B2 (ja) * | 2010-08-31 | 2013-06-19 | 株式会社東芝 | インプリントレシピ作成装置及び方法並びにインプリント装置及び方法 |
CN102486616B (zh) * | 2010-12-06 | 2013-11-27 | 无锡华润上华半导体有限公司 | 一种曝光方法 |
JP2012169475A (ja) * | 2011-02-15 | 2012-09-06 | Toshiba Corp | インプリント装置および半導体基板の製造方法 |
JP5458068B2 (ja) | 2011-08-31 | 2014-04-02 | 株式会社東芝 | パターン転写装置および半導体装置の製造方法 |
US9426886B2 (en) | 2013-01-30 | 2016-08-23 | Seagate Technology Llc | Electrical connection with reduced topography |
US9385089B2 (en) | 2013-01-30 | 2016-07-05 | Seagate Technology Llc | Alignment mark recovery with reduced topography |
US9343089B2 (en) | 2013-03-08 | 2016-05-17 | Seagate Technology Llc | Nanoimprint lithography for thin film heads |
SG11201604407WA (en) | 2013-12-31 | 2016-07-28 | Canon Nanotechnologies Inc | Asymmetric template shape modulation for partial field imprinting |
JP6437387B2 (ja) * | 2015-05-25 | 2018-12-12 | 東芝メモリ株式会社 | 基板平坦化方法 |
US11104057B2 (en) * | 2015-12-11 | 2021-08-31 | Canon Kabushiki Kaisha | Imprint apparatus and method of imprinting a partial field |
KR102666843B1 (ko) * | 2018-08-31 | 2024-05-21 | 삼성디스플레이 주식회사 | 나노 임프린트용 스탬프 및 이의 제조 방법 |
US11614693B2 (en) | 2021-06-30 | 2023-03-28 | Canon Kabushiki Kaisha | Method of determining the initial contact point for partial fields and method of shaping a surface |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5110665A (en) * | 1989-12-27 | 1992-05-05 | Tektronix, Inc. | Light-transmissive substrates coated to promote adhesion of phase-change inks |
US5622747A (en) * | 1991-09-18 | 1997-04-22 | National Semiconductor Corporation | Method for dispensing a layer of photoresist on a wafer without spinning the wafer |
US20050250052A1 (en) * | 2004-05-10 | 2005-11-10 | Nguyen Khe C | Maskless lithography using UV absorbing nano particle |
Family Cites Families (240)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1183056A (en) * | 1966-11-29 | 1970-03-04 | Bp Chemicals U K Ltd Formerly | Metering Process for Dispensing Measured Quantities of Liquefied Gas |
US4022855A (en) | 1975-03-17 | 1977-05-10 | Eastman Kodak Company | Method for making a plastic optical element having a gradient index of refraction |
FR2325018A1 (fr) | 1975-06-23 | 1977-04-15 | Ibm | Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus |
US4208240A (en) | 1979-01-26 | 1980-06-17 | Gould Inc. | Method and apparatus for controlling plasma etching |
DE3022709A1 (de) | 1980-06-18 | 1982-01-07 | Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück | Wasserfestes fotografisches papier und verfahren zu seiner herstellung |
US4576900A (en) | 1981-10-09 | 1986-03-18 | Amdahl Corporation | Integrated circuit multilevel interconnect system and method |
DE3208081A1 (de) | 1982-03-06 | 1983-09-08 | Braun Ag, 6000 Frankfurt | Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche |
US4440804A (en) | 1982-08-02 | 1984-04-03 | Fairchild Camera & Instrument Corporation | Lift-off process for fabricating self-aligned contacts |
US4490409A (en) | 1982-09-07 | 1984-12-25 | Energy Sciences, Inc. | Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates |
US4637904A (en) | 1983-11-14 | 1987-01-20 | Rohm And Haas Company | Process for molding a polymeric layer onto a substrate |
US4512848A (en) | 1984-02-06 | 1985-04-23 | Exxon Research And Engineering Co. | Procedure for fabrication of microstructures over large areas using physical replication |
US4908298A (en) | 1985-03-19 | 1990-03-13 | International Business Machines Corporation | Method of creating patterned multilayer films for use in production of semiconductor circuits and systems |
EP0228671A1 (en) | 1985-12-23 | 1987-07-15 | General Electric Company | Method for the production of a coated substrate with controlled surface characteristics |
DE3767317D1 (de) | 1986-02-13 | 1991-02-21 | Philips Nv | Matrize fuer einen abdruck-process. |
US4676868A (en) | 1986-04-23 | 1987-06-30 | Fairchild Semiconductor Corporation | Method for planarizing semiconductor substrates |
US4737425A (en) | 1986-06-10 | 1988-04-12 | International Business Machines Corporation | Patterned resist and process |
KR900004269B1 (ko) | 1986-06-11 | 1990-06-18 | 가부시기가이샤 도시바 | 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치 |
JPS6376330A (ja) | 1986-09-18 | 1988-04-06 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
FR2604553A1 (fr) | 1986-09-29 | 1988-04-01 | Rhone Poulenc Chimie | Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat |
US4707218A (en) | 1986-10-28 | 1987-11-17 | International Business Machines Corporation | Lithographic image size reduction |
JPH06104375B2 (ja) | 1986-11-10 | 1994-12-21 | 松下電器産業株式会社 | 印刷方法 |
US4794021A (en) * | 1986-11-13 | 1988-12-27 | Microelectronics And Computer Technology Corporation | Method of providing a planarized polymer coating on a substrate wafer |
JP2823016B2 (ja) | 1986-12-25 | 1998-11-11 | ソニー株式会社 | 透過型スクリーンの製造方法 |
US6048799A (en) | 1987-02-27 | 2000-04-11 | Lucent Technologies Inc. | Device fabrication involving surface planarization |
US6391798B1 (en) | 1987-02-27 | 2002-05-21 | Agere Systems Guardian Corp. | Process for planarization a semiconductor substrate |
US5736424A (en) | 1987-02-27 | 1998-04-07 | Lucent Technologies Inc. | Device fabrication involving planarization |
US4731155A (en) | 1987-04-15 | 1988-03-15 | General Electric Company | Process for forming a lithographic mask |
US5028361A (en) | 1987-11-09 | 1991-07-02 | Takeo Fujimoto | Method for molding a photosensitive composition |
US4936465A (en) * | 1987-12-07 | 1990-06-26 | Zoeld Tibor | Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system |
US5028366A (en) | 1988-01-12 | 1991-07-02 | Air Products And Chemicals, Inc. | Water based mold release compositions for making molded polyurethane foam |
US4866307A (en) | 1988-04-20 | 1989-09-12 | Texas Instruments Incorporated | Integrated programmable bit circuit using single-level poly construction |
US4862019A (en) | 1988-04-20 | 1989-08-29 | Texas Instruments Incorporated | Single-level poly programmable bit circuit |
JPH0269936A (ja) | 1988-07-28 | 1990-03-08 | Siemens Ag | 半導体材料上の樹脂構造の形成方法 |
US4921778A (en) | 1988-07-29 | 1990-05-01 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
JP2546350B2 (ja) | 1988-09-09 | 1996-10-23 | キヤノン株式会社 | 位置合わせ装置 |
US4964945A (en) | 1988-12-09 | 1990-10-23 | Minnesota Mining And Manufacturing Company | Lift off patterning process on a flexible substrate |
US5110514A (en) | 1989-05-01 | 1992-05-05 | Soane Technologies, Inc. | Controlled casting of a shrinkable material |
US5053318A (en) | 1989-05-18 | 1991-10-01 | Shipley Company Inc. | Plasma processing with metal mask integration |
US4932358A (en) | 1989-05-18 | 1990-06-12 | Genus, Inc. | Perimeter wafer seal |
CA2011927C (en) | 1989-06-02 | 1996-12-24 | Alan Lee Sidman | Microlithographic method for producing thick, vertically-walled photoresist patterns |
US4919748A (en) | 1989-06-30 | 1990-04-24 | At&T Bell Laboratories | Method for tapered etching |
US5151754A (en) | 1989-10-06 | 1992-09-29 | Kabushiki Kaisha Toshiba | Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects |
US5362606A (en) | 1989-10-18 | 1994-11-08 | Massachusetts Institute Of Technology | Positive resist pattern formation through focused ion beam exposure and surface barrier silylation |
US5073230A (en) | 1990-04-17 | 1991-12-17 | Arizona Board Of Regents Acting On Behalf Of Arizona State University | Means and methods of lifting and relocating an epitaxial device layer |
US5003062A (en) | 1990-04-19 | 1991-03-26 | Taiwan Semiconductor Manufacturing Co. | Semiconductor planarization process for submicron devices |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5451435A (en) | 1990-06-18 | 1995-09-19 | At&T Corp. | Method for forming dielectric |
DE4029912A1 (de) | 1990-09-21 | 1992-03-26 | Philips Patentverwaltung | Verfahren zur bildung mindestens eines grabens in einer substratschicht |
US5126006A (en) | 1990-10-30 | 1992-06-30 | International Business Machines Corp. | Plural level chip masking |
US5288436A (en) | 1990-11-06 | 1994-02-22 | Colloptics, Inc. | Methods of fabricating a collagen lenticule precursor for modifying the cornea |
US5362940A (en) * | 1990-11-09 | 1994-11-08 | Litel Instruments | Use of Fresnel zone plates for material processing |
US5240878A (en) | 1991-04-26 | 1993-08-31 | International Business Machines Corporation | Method for forming patterned films on a substrate |
US5212147A (en) | 1991-05-15 | 1993-05-18 | Hewlett-Packard Company | Method of forming a patterned in-situ high Tc superconductive film |
FR2677043B1 (fr) | 1991-05-29 | 1993-12-24 | Solems | Procede, dispositif et appareil pour traiter un substrat par un plasma basse pression. |
EP0524759A1 (en) | 1991-07-23 | 1993-01-27 | AT&T Corp. | Device fabrication process |
US5357122A (en) | 1991-09-05 | 1994-10-18 | Sony Corporation | Three-dimensional optical-electronic integrated circuit device with raised sections |
JPH0580530A (ja) | 1991-09-24 | 1993-04-02 | Hitachi Ltd | 薄膜パターン製造方法 |
US5277749A (en) | 1991-10-17 | 1994-01-11 | International Business Machines Corporation | Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps |
US5263073A (en) | 1991-12-20 | 1993-11-16 | Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College | Scanning systems for high resolution E-beam and X-ray lithography |
JP2867194B2 (ja) * | 1992-02-05 | 1999-03-08 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US5244818A (en) | 1992-04-08 | 1993-09-14 | Georgia Tech Research Corporation | Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits |
US5545367A (en) | 1992-04-15 | 1996-08-13 | Soane Technologies, Inc. | Rapid prototype three dimensional stereolithography |
US5246880A (en) | 1992-04-27 | 1993-09-21 | Eastman Kodak Company | Method for creating substrate electrodes for flip chip and other applications |
JP3157605B2 (ja) | 1992-04-28 | 2001-04-16 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US5371822A (en) * | 1992-06-09 | 1994-12-06 | Digital Equipment Corporation | Method of packaging and assembling opto-electronic integrated circuits |
US5232874A (en) | 1992-06-22 | 1993-08-03 | Micron Technology, Inc. | Method for producing a semiconductor wafer having shallow and deep buried contacts |
US5376810A (en) | 1992-06-26 | 1994-12-27 | California Institute Of Technology | Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response |
US5445195A (en) * | 1992-07-15 | 1995-08-29 | Kim; Dae S. | Automatic computer-controlled liquid dispenser |
US5601641A (en) | 1992-07-21 | 1997-02-11 | Tse Industries, Inc. | Mold release composition with polybutadiene and method of coating a mold core |
US5250472A (en) | 1992-09-03 | 1993-10-05 | Industrial Technology Research Institute | Spin-on-glass integration planarization having siloxane partial etchback and silicate processes |
US5431777A (en) | 1992-09-17 | 1995-07-11 | International Business Machines Corporation | Methods and compositions for the selective etching of silicon |
TW227628B (zh) | 1992-12-10 | 1994-08-01 | Samsung Electronics Co Ltd | |
DE69405451T2 (de) | 1993-03-16 | 1998-03-12 | Koninkl Philips Electronics Nv | Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche |
US5348616A (en) * | 1993-05-03 | 1994-09-20 | Motorola, Inc. | Method for patterning a mold |
US5324683A (en) | 1993-06-02 | 1994-06-28 | Motorola, Inc. | Method of forming a semiconductor structure having an air region |
JP2837063B2 (ja) | 1993-06-04 | 1998-12-14 | シャープ株式会社 | レジストパターンの形成方法 |
US6776094B1 (en) | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
US5900160A (en) | 1993-10-04 | 1999-05-04 | President And Fellows Of Harvard College | Methods of etching articles via microcontact printing |
US5449117A (en) * | 1993-10-04 | 1995-09-12 | Technical Concepts, L.P. | Apparatus and method for controllably dispensing drops of liquid |
US6180239B1 (en) | 1993-10-04 | 2001-01-30 | President And Fellows Of Harvard College | Microcontact printing on surfaces and derivative articles |
US5512131A (en) | 1993-10-04 | 1996-04-30 | President And Fellows Of Harvard College | Formation of microstamped patterns on surfaces and derivative articles |
US5776748A (en) | 1993-10-04 | 1998-07-07 | President And Fellows Of Harvard College | Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor |
NL9401260A (nl) | 1993-11-12 | 1995-06-01 | Cornelis Johannes Maria Van Ri | Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan. |
US5434107A (en) | 1994-01-28 | 1995-07-18 | Texas Instruments Incorporated | Method for planarization |
DE4408537A1 (de) * | 1994-03-14 | 1995-09-21 | Leybold Ag | Vorrichtung für den Transport von Substraten |
US5542605A (en) * | 1994-04-07 | 1996-08-06 | Flow-Rite Controls, Ltd. | Automatic liquid dispenser |
US5453157A (en) | 1994-05-16 | 1995-09-26 | Texas Instruments Incorporated | Low temperature anisotropic ashing of resist for semiconductor fabrication |
US5686356A (en) | 1994-09-30 | 1997-11-11 | Texas Instruments Incorporated | Conductor reticulation for improved device planarity |
DE69509046T2 (de) | 1994-11-30 | 1999-10-21 | Applied Materials, Inc. | Plasmareaktoren zur Behandlung von Halbleiterscheiben |
US5458520A (en) | 1994-12-13 | 1995-10-17 | International Business Machines Corporation | Method for producing planar field emission structure |
US5628917A (en) | 1995-02-03 | 1997-05-13 | Cornell Research Foundation, Inc. | Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures |
US5843363A (en) | 1995-03-31 | 1998-12-01 | Siemens Aktiengesellschaft | Ablation patterning of multi-layered structures |
US5849209A (en) | 1995-03-31 | 1998-12-15 | Johnson & Johnson Vision Products, Inc. | Mold material made with additives |
US6342389B1 (en) * | 1995-04-10 | 2002-01-29 | Roger S. Cubicciotti | Modified phycobilisomes and uses therefore |
GB9509487D0 (en) * | 1995-05-10 | 1995-07-05 | Ici Plc | Micro relief element & preparation thereof |
US5820769A (en) | 1995-05-24 | 1998-10-13 | Regents Of The University Of Minnesota | Method for making magnetic storage having discrete elements with quantized magnetic moments |
US5948570A (en) | 1995-05-26 | 1999-09-07 | Lucent Technologies Inc. | Process for dry lithographic etching |
US5654238A (en) | 1995-08-03 | 1997-08-05 | International Business Machines Corporation | Method for etching vertical contact holes without substrate damage caused by directional etching |
US5849222A (en) | 1995-09-29 | 1998-12-15 | Johnson & Johnson Vision Products, Inc. | Method for reducing lens hole defects in production of contact lens blanks |
US6518189B1 (en) | 1995-11-15 | 2003-02-11 | Regents Of The University Of Minnesota | Method and apparatus for high density nanostructures |
US5772905A (en) | 1995-11-15 | 1998-06-30 | Regents Of The University Of Minnesota | Nanoimprint lithography |
US20040036201A1 (en) | 2000-07-18 | 2004-02-26 | Princeton University | Methods and apparatus of field-induced pressure imprint lithography |
US6309580B1 (en) | 1995-11-15 | 2001-10-30 | Regents Of The University Of Minnesota | Release surfaces, particularly for use in nanoimprint lithography |
US6482742B1 (en) | 2000-07-18 | 2002-11-19 | Stephen Y. Chou | Fluid pressure imprint lithography |
US20040137734A1 (en) | 1995-11-15 | 2004-07-15 | Princeton University | Compositions and processes for nanoimprinting |
US7758794B2 (en) | 2001-10-29 | 2010-07-20 | Princeton University | Method of making an article comprising nanoscale patterns with reduced edge roughness |
US5669303A (en) | 1996-03-04 | 1997-09-23 | Motorola | Apparatus and method for stamping a surface |
US6355198B1 (en) | 1996-03-15 | 2002-03-12 | President And Fellows Of Harvard College | Method of forming articles including waveguides via capillary micromolding and microtransfer molding |
US20030179354A1 (en) | 1996-03-22 | 2003-09-25 | Nikon Corporation | Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method |
JPH09283621A (ja) | 1996-04-10 | 1997-10-31 | Murata Mfg Co Ltd | 半導体装置のt型ゲート電極形成方法およびその構造 |
US5942443A (en) | 1996-06-28 | 1999-08-24 | Caliper Technologies Corporation | High throughput screening assay systems in microscale fluidic devices |
US5888650A (en) | 1996-06-03 | 1999-03-30 | Minnesota Mining And Manufacturing Company | Temperature-responsive adhesive article |
US6074827A (en) | 1996-07-30 | 2000-06-13 | Aclara Biosciences, Inc. | Microfluidic method for nucleic acid purification and processing |
AU4141697A (en) | 1996-09-06 | 1998-03-26 | Obducat Ab | Method for anisotropic etching of structures in conducting materials |
US5858580A (en) * | 1997-09-17 | 1999-01-12 | Numerical Technologies, Inc. | Phase shifting circuit manufacture method and apparatus |
US6228539B1 (en) | 1996-09-18 | 2001-05-08 | Numerical Technologies, Inc. | Phase shifting circuit manufacture method and apparatus |
JPH10123534A (ja) | 1996-10-23 | 1998-05-15 | Toshiba Corp | 液晶表示素子 |
US5895263A (en) | 1996-12-19 | 1999-04-20 | International Business Machines Corporation | Process for manufacture of integrated circuit device |
US5983906A (en) | 1997-01-24 | 1999-11-16 | Applied Materials, Inc. | Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment |
US6103445A (en) * | 1997-03-07 | 2000-08-15 | Board Of Regents, The University Of Texas System | Photoresist compositions comprising norbornene derivative polymers with acid labile groups |
US5817579A (en) | 1997-04-09 | 1998-10-06 | Vanguard International Semiconductor Corporation | Two step plasma etch method for forming self aligned contact |
US5948470A (en) | 1997-04-28 | 1999-09-07 | Harrison; Christopher | Method of nanoscale patterning and products made thereby |
US5812629A (en) * | 1997-04-30 | 1998-09-22 | Clauser; John F. | Ultrahigh resolution interferometric x-ray imaging |
US5926690A (en) | 1997-05-28 | 1999-07-20 | Advanced Micro Devices, Inc. | Run-to-run control process for controlling critical dimensions |
US5974150A (en) * | 1997-09-30 | 1999-10-26 | Tracer Detection Technology Corp. | System and method for authentication of goods |
AU2864499A (en) | 1998-03-05 | 1999-09-20 | Etchtech Sweden Ab | Method of etching |
US6150680A (en) | 1998-03-05 | 2000-11-21 | Welch Allyn, Inc. | Field effect semiconductor device having dipole barrier |
JP3780700B2 (ja) | 1998-05-26 | 2006-05-31 | セイコーエプソン株式会社 | パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法 |
FI109944B (fi) | 1998-08-11 | 2002-10-31 | Valtion Teknillinen | Optoelektroninen komponentti ja valmistusmenetelmä |
US5907782A (en) | 1998-08-15 | 1999-05-25 | Acer Semiconductor Manufacturing Inc. | Method of forming a multiple fin-pillar capacitor for a high density dram cell |
US6713238B1 (en) | 1998-10-09 | 2004-03-30 | Stephen Y. Chou | Microscale patterning and articles formed thereby |
US6218316B1 (en) | 1998-10-22 | 2001-04-17 | Micron Technology, Inc. | Planarization of non-planar surfaces in device fabrication |
US6665014B1 (en) | 1998-11-25 | 2003-12-16 | Intel Corporation | Microlens and photodetector |
US6247986B1 (en) * | 1998-12-23 | 2001-06-19 | 3M Innovative Properties Company | Method for precise molding and alignment of structures on a substrate using a stretchable mold |
US6521536B1 (en) | 1999-01-11 | 2003-02-18 | Micron Technology, Inc. | Planarization process |
US6274294B1 (en) | 1999-02-03 | 2001-08-14 | Electroformed Stents, Inc. | Cylindrical photolithography exposure process and apparatus |
US6565928B2 (en) | 1999-03-08 | 2003-05-20 | Tokyo Electron Limited | Film forming method and film forming apparatus |
US6334960B1 (en) | 1999-03-11 | 2002-01-01 | Board Of Regents, The University Of Texas System | Step and flash imprint lithography |
US6387783B1 (en) | 1999-04-26 | 2002-05-14 | International Business Machines Corporation | Methods of T-gate fabrication using a hybrid resist |
US6255022B1 (en) | 1999-06-17 | 2001-07-03 | Taiwan Semiconductor Manufacturing Company | Dry development process for a bi-layer resist system utilized to reduce microloading |
KR100702741B1 (ko) | 1999-06-29 | 2007-04-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 반도체 장치 제조를 위한 집적식 임계치수 제어 |
US6242363B1 (en) | 1999-08-11 | 2001-06-05 | Adc Telecommunications, Inc. | Method of etching a wafer layer using a sacrificial wall to form vertical sidewall |
US6383928B1 (en) | 1999-09-02 | 2002-05-07 | Texas Instruments Incorporated | Post copper CMP clean |
US6517995B1 (en) | 1999-09-14 | 2003-02-11 | Massachusetts Institute Of Technology | Fabrication of finely featured devices by liquid embossing |
US6329256B1 (en) | 1999-09-24 | 2001-12-11 | Advanced Micro Devices, Inc. | Self-aligned damascene gate formation with low gate resistance |
US6873087B1 (en) | 1999-10-29 | 2005-03-29 | Board Of Regents, The University Of Texas System | High precision orientation alignment and gap control stages for imprint lithography processes |
US6623579B1 (en) * | 1999-11-02 | 2003-09-23 | Alien Technology Corporation | Methods and apparatus for fluidic self assembly |
EP1251974B1 (en) | 1999-12-23 | 2005-05-04 | University of Massachusetts | Methods for forming submicron patterns on films |
US6498640B1 (en) * | 1999-12-30 | 2002-12-24 | Koninklijke Philips Electronics N.V. | Method to measure alignment using latent image grating structures |
US6376379B1 (en) | 2000-02-01 | 2002-04-23 | Chartered Semiconductor Manufacturing Ltd. | Method of hard mask patterning |
US6337262B1 (en) | 2000-03-06 | 2002-01-08 | Chartered Semiconductor Manufacturing Ltd. | Self aligned T-top gate process integration |
US6356337B1 (en) * | 2000-03-08 | 2002-03-12 | Anvik Corporation | Two-sided substrate imaging using single-approach projection optics |
US6387330B1 (en) * | 2000-04-12 | 2002-05-14 | George Steven Bova | Method and apparatus for storing and dispensing reagents |
US7859519B2 (en) * | 2000-05-01 | 2010-12-28 | Tulbert David J | Human-machine interface |
US6593240B1 (en) | 2000-06-28 | 2003-07-15 | Infineon Technologies, North America Corp | Two step chemical mechanical polishing process |
US6921615B2 (en) | 2000-07-16 | 2005-07-26 | Board Of Regents, The University Of Texas System | High-resolution overlay alignment methods for imprint lithography |
WO2002006902A2 (en) | 2000-07-17 | 2002-01-24 | Board Of Regents, The University Of Texas System | Method and system of automatic fluid dispensing for imprint lithography processes |
US20050037143A1 (en) | 2000-07-18 | 2005-02-17 | Chou Stephen Y. | Imprint lithography with improved monitoring and control and apparatus therefor |
US7635262B2 (en) | 2000-07-18 | 2009-12-22 | Princeton University | Lithographic apparatus for fluid pressure imprint lithography |
US7211214B2 (en) | 2000-07-18 | 2007-05-01 | Princeton University | Laser assisted direct imprint lithography |
US6326627B1 (en) | 2000-08-02 | 2001-12-04 | Archimedes Technology Group, Inc. | Mass filtering sputtered ion source |
KR100350811B1 (ko) | 2000-08-19 | 2002-09-05 | 삼성전자 주식회사 | 반도체 장치의 금속 비아 콘택 및 그 형성방법 |
US6629292B1 (en) | 2000-10-06 | 2003-09-30 | International Business Machines Corporation | Method for forming graphical images in semiconductor devices |
US20060005657A1 (en) * | 2004-06-01 | 2006-01-12 | Molecular Imprints, Inc. | Method and system to control movement of a body for nano-scale manufacturing |
KR101031528B1 (ko) | 2000-10-12 | 2011-04-27 | 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 | 실온 저압 마이크로- 및 나노- 임프린트 리소그래피용템플릿 |
US7387508B2 (en) | 2004-06-01 | 2008-06-17 | Molecular Imprints Inc. | Compliant device for nano-scale manufacturing |
US20050274219A1 (en) * | 2004-06-01 | 2005-12-15 | Molecular Imprints, Inc. | Method and system to control movement of a body for nano-scale manufacturing |
US6879162B2 (en) * | 2000-11-07 | 2005-04-12 | Sri International | System and method of micro-fluidic handling and dispensing using micro-nozzle structures |
US6790763B2 (en) | 2000-12-04 | 2004-09-14 | Ebara Corporation | Substrate processing method |
US6632742B2 (en) | 2001-04-18 | 2003-10-14 | Promos Technologies Inc. | Method for avoiding defects produced in the CMP process |
US6841483B2 (en) | 2001-02-12 | 2005-01-11 | Lam Research Corporation | Unique process chemistry for etching organic low-k materials |
US6620733B2 (en) | 2001-02-12 | 2003-09-16 | Lam Research Corporation | Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics |
US6387787B1 (en) | 2001-03-02 | 2002-05-14 | Motorola, Inc. | Lithographic template and method of formation and use |
US6955767B2 (en) * | 2001-03-22 | 2005-10-18 | Hewlett-Packard Development Company, Lp. | Scanning probe based lithographic alignment |
US6517977B2 (en) | 2001-03-28 | 2003-02-11 | Motorola, Inc. | Lithographic template and method of formation and use |
US6541360B1 (en) | 2001-04-30 | 2003-04-01 | Advanced Micro Devices, Inc. | Bi-layer trim etch process to form integrated circuit gate structures |
US6534418B1 (en) | 2001-04-30 | 2003-03-18 | Advanced Micro Devices, Inc. | Use of silicon containing imaging layer to define sub-resolution gate structures |
US6964793B2 (en) | 2002-05-16 | 2005-11-15 | Board Of Regents, The University Of Texas System | Method for fabricating nanoscale patterns in light curable compositions using an electric field |
JP2002348680A (ja) | 2001-05-22 | 2002-12-04 | Sharp Corp | 金属膜パターンおよびその製造方法 |
US6847433B2 (en) | 2001-06-01 | 2005-01-25 | Agere Systems, Inc. | Holder, system, and process for improving overlay in lithography |
TW488080B (en) | 2001-06-08 | 2002-05-21 | Au Optronics Corp | Method for producing thin film transistor |
TWI285279B (en) | 2001-06-14 | 2007-08-11 | Himax Tech Ltd | Liquid crystal display panel having sealant |
US7049049B2 (en) * | 2001-06-27 | 2006-05-23 | University Of South Florida | Maskless photolithography for using photoreactive agents |
WO2003010289A2 (en) | 2001-07-25 | 2003-02-06 | The Trustees Of Princeton University | Nanochannel arrays and their preparation and use for high throughput macromolecular analysis |
US6678038B2 (en) * | 2001-08-03 | 2004-01-13 | Nikon Corporation | Apparatus and methods for detecting tool-induced shift in microlithography apparatus |
CN100347608C (zh) | 2001-09-25 | 2007-11-07 | 米卢塔技术株式会社 | 利用毛细作用力在基体上形成微型图案的方法 |
US6786996B2 (en) * | 2001-10-16 | 2004-09-07 | Applied Materials Inc. | Apparatus and method for edge bead removal |
US20030080472A1 (en) | 2001-10-29 | 2003-05-01 | Chou Stephen Y. | Lithographic method with bonded release layer for molding small patterns |
US6716767B2 (en) | 2001-10-31 | 2004-04-06 | Brewer Science, Inc. | Contact planarization materials that generate no volatile byproducts or residue during curing |
JP2003202584A (ja) | 2002-01-08 | 2003-07-18 | Toshiba Corp | 液晶表示装置 |
US6621960B2 (en) * | 2002-01-24 | 2003-09-16 | Oplink Communications, Inc. | Method of fabricating multiple superimposed fiber Bragg gratings |
US7455955B2 (en) | 2002-02-27 | 2008-11-25 | Brewer Science Inc. | Planarization method for multi-layer lithography processing |
US7117583B2 (en) * | 2002-03-18 | 2006-10-10 | International Business Machines Corporation | Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure |
US7223350B2 (en) | 2002-03-29 | 2007-05-29 | International Business Machines Corporation | Planarization in an encapsulation process for thin film surfaces |
US6783717B2 (en) | 2002-04-22 | 2004-08-31 | International Business Machines Corporation | Process of fabricating a precision microcontact printing stamp |
US6849558B2 (en) | 2002-05-22 | 2005-02-01 | The Board Of Trustees Of The Leland Stanford Junior University | Replication and transfer of microstructures and nanostructures |
US20030224116A1 (en) | 2002-05-30 | 2003-12-04 | Erli Chen | Non-conformal overcoat for nonometer-sized surface structure |
US7252492B2 (en) * | 2002-06-20 | 2007-08-07 | Obducat Ab | Devices and methods for aligning a stamp and a substrate |
US7077992B2 (en) | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US6900881B2 (en) | 2002-07-11 | 2005-05-31 | Molecular Imprints, Inc. | Step and repeat imprint lithography systems |
US6908861B2 (en) | 2002-07-11 | 2005-06-21 | Molecular Imprints, Inc. | Method for imprint lithography using an electric field |
US6932934B2 (en) | 2002-07-11 | 2005-08-23 | Molecular Imprints, Inc. | Formation of discontinuous films during an imprint lithography process |
US6916584B2 (en) | 2002-08-01 | 2005-07-12 | Molecular Imprints, Inc. | Alignment methods for imprint lithography |
US7027156B2 (en) * | 2002-08-01 | 2006-04-11 | Molecular Imprints, Inc. | Scatterometry alignment for imprint lithography |
US7071088B2 (en) * | 2002-08-23 | 2006-07-04 | Molecular Imprints, Inc. | Method for fabricating bulbous-shaped vias |
US20040065252A1 (en) | 2002-10-04 | 2004-04-08 | Sreenivasan Sidlgata V. | Method of forming a layer on a substrate to facilitate fabrication of metrology standards |
US8349241B2 (en) | 2002-10-04 | 2013-01-08 | Molecular Imprints, Inc. | Method to arrange features on a substrate to replicate features having minimal dimensional variability |
US6833325B2 (en) | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7750059B2 (en) | 2002-12-04 | 2010-07-06 | Hewlett-Packard Development Company, L.P. | Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure |
WO2004054784A1 (en) * | 2002-12-13 | 2004-07-01 | Molecular Imprints, Inc. | Magnification corrections employing out-of-plane distortions on a substrate |
US7113336B2 (en) | 2002-12-30 | 2006-09-26 | Ian Crosby | Microlens including wire-grid polarizer and methods of manufacture |
EP1606834B1 (en) | 2003-03-27 | 2013-06-05 | Korea Institute Of Machinery & Materials | Uv nanoimprint lithography process using elementwise embossed stamp |
DE10318681B4 (de) * | 2003-04-24 | 2006-07-06 | Schott Ag | Verfahren und Vorrichtung zum Entfernen eines Randbereichs einer Substratschicht und zur Substratbeschichtung sowie Substrat |
US6951173B1 (en) * | 2003-05-14 | 2005-10-04 | Molecular Imprints, Inc. | Assembly and method for transferring imprint lithography templates |
TWI228638B (en) | 2003-06-10 | 2005-03-01 | Ind Tech Res Inst | Method for and apparatus for bonding patterned imprint to a substrate by adhering means |
US7150622B2 (en) * | 2003-07-09 | 2006-12-19 | Molecular Imprints, Inc. | Systems for magnification and distortion correction for imprint lithography processes |
US7790231B2 (en) | 2003-07-10 | 2010-09-07 | Brewer Science Inc. | Automated process and apparatus for planarization of topographical surfaces |
JP4322096B2 (ja) * | 2003-11-14 | 2009-08-26 | Tdk株式会社 | レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法 |
KR100558754B1 (ko) * | 2004-02-24 | 2006-03-10 | 한국기계연구원 | Uv 나노임프린트 리소그래피 공정 및 이 공정을수행하는 장치 |
US20050273251A1 (en) * | 2004-05-21 | 2005-12-08 | Axel Nix | Turn-by-turn navigation system with special routing features |
US20050275311A1 (en) * | 2004-06-01 | 2005-12-15 | Molecular Imprints, Inc. | Compliant device for nano-scale manufacturing |
US20050276919A1 (en) * | 2004-06-01 | 2005-12-15 | Molecular Imprints, Inc. | Method for dispensing a fluid on a substrate |
US7673775B2 (en) * | 2004-06-25 | 2010-03-09 | Cristian Penciu | Apparatus for mixing and dispensing fluids |
US20060017876A1 (en) | 2004-07-23 | 2006-01-26 | Molecular Imprints, Inc. | Displays and method for fabricating displays |
US7105452B2 (en) * | 2004-08-13 | 2006-09-12 | Molecular Imprints, Inc. | Method of planarizing a semiconductor substrate with an etching chemistry |
US7282550B2 (en) * | 2004-08-16 | 2007-10-16 | Molecular Imprints, Inc. | Composition to provide a layer with uniform etch characteristics |
KR100618861B1 (ko) * | 2004-09-09 | 2006-08-31 | 삼성전자주식회사 | 로컬 리세스 채널 트랜지스터를 구비하는 반도체 소자 및그 제조 방법 |
US7244386B2 (en) * | 2004-09-27 | 2007-07-17 | Molecular Imprints, Inc. | Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom |
US7029944B1 (en) * | 2004-09-30 | 2006-04-18 | Sharp Laboratories Of America, Inc. | Methods of forming a microlens array over a substrate employing a CMP stop |
JP2006133334A (ja) * | 2004-11-02 | 2006-05-25 | Seiko Epson Corp | 凹部付き部材、凸部付き部材の製造方法、凸部付き部材、透過型スクリーンおよびリア型プロジェクタ |
US7357876B2 (en) * | 2004-12-01 | 2008-04-15 | Molecular Imprints, Inc. | Eliminating printability of sub-resolution defects in imprint lithography |
US7878791B2 (en) * | 2005-11-04 | 2011-02-01 | Asml Netherlands B.V. | Imprint lithography |
US7906058B2 (en) * | 2005-12-01 | 2011-03-15 | Molecular Imprints, Inc. | Bifurcated contact printing technique |
US7670530B2 (en) * | 2006-01-20 | 2010-03-02 | Molecular Imprints, Inc. | Patterning substrates employing multiple chucks |
KR101324549B1 (ko) * | 2005-12-08 | 2013-11-01 | 몰레큘러 임프린츠 인코퍼레이티드 | 기판의 양면 패턴화를 위한 방법 및 시스템 |
US7517211B2 (en) * | 2005-12-21 | 2009-04-14 | Asml Netherlands B.V. | Imprint lithography |
US20070200276A1 (en) * | 2006-02-24 | 2007-08-30 | Micron Technology, Inc. | Method for rapid printing of near-field and imprint lithographic features |
TW200801794A (en) * | 2006-04-03 | 2008-01-01 | Molecular Imprints Inc | Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks |
US8142850B2 (en) * | 2006-04-03 | 2012-03-27 | Molecular Imprints, Inc. | Patterning a plurality of fields on a substrate to compensate for differing evaporation times |
US7547398B2 (en) * | 2006-04-18 | 2009-06-16 | Molecular Imprints, Inc. | Self-aligned process for fabricating imprint templates containing variously etched features |
-
2007
- 2007-03-30 US US11/694,500 patent/US7802978B2/en active Active
- 2007-04-02 WO PCT/US2007/008077 patent/WO2007133346A2/en active Application Filing
- 2007-04-03 TW TW96111829A patent/TWI391986B/zh active
-
2009
- 2009-06-05 US US12/479,437 patent/US7935292B2/en not_active Expired - Fee Related
-
2011
- 2011-05-02 US US13/098,959 patent/US8865046B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5110665A (en) * | 1989-12-27 | 1992-05-05 | Tektronix, Inc. | Light-transmissive substrates coated to promote adhesion of phase-change inks |
US5622747A (en) * | 1991-09-18 | 1997-04-22 | National Semiconductor Corporation | Method for dispensing a layer of photoresist on a wafer without spinning the wafer |
US20050250052A1 (en) * | 2004-05-10 | 2005-11-10 | Nguyen Khe C | Maskless lithography using UV absorbing nano particle |
Also Published As
Publication number | Publication date |
---|---|
US20110212263A1 (en) | 2011-09-01 |
US7802978B2 (en) | 2010-09-28 |
US20090283934A1 (en) | 2009-11-19 |
WO2007133346A3 (en) | 2009-10-01 |
TW200746257A (en) | 2007-12-16 |
US8865046B2 (en) | 2014-10-21 |
US20070228609A1 (en) | 2007-10-04 |
US7935292B2 (en) | 2011-05-03 |
WO2007133346A2 (en) | 2007-11-22 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI391986B (zh) | 於晶圓邊緣作局部區域之壓印 | |
KR101357815B1 (ko) | 임프린트 리소그래피 시스템 | |
JP4819577B2 (ja) | パターン転写方法およびパターン転写装置 | |
JP5306989B2 (ja) | 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法 | |
JP4695009B2 (ja) | インプリント・リソグラフィ | |
EP1942374B1 (en) | Imprint method for producing structure | |
TWI360835B (en) | Residual layer thickness measurement and correctio | |
JP5404654B2 (ja) | テンプレート形成時の限界寸法制御 | |
US8967992B2 (en) | Optically absorptive material for alignment marks | |
US9122148B2 (en) | Master template replication | |
JP2013507770A (ja) | 大面積線形アレイのナノインプリンティング | |
JP5728478B2 (ja) | 隣接するフィールドのアラインメント方法 | |
JP7071484B2 (ja) | インプリントシステム内のディストーションの補正を伴うナノ製作方法 | |
JP4861044B2 (ja) | 基板の加工方法、パターン領域を有する部材の製造方法 | |
JP2015204399A (ja) | モールド、インプリント装置、インプリント方法、および物品の製造方法 | |
US20160071726A1 (en) | Method of manufacturing semiconductor device | |
US11249405B2 (en) | System and method for improving the performance of a nanoimprint system | |
JP2022522424A (ja) | スタンプの生成及び硬化のための方法及び装置 | |
US12085852B2 (en) | Template, method of forming a template, apparatus and method of manufacturing an article | |
US20230418155A1 (en) | Template, pattern forming method, and semiconductor device manufacturing method |