TWI383485B - 半導體結構與組件,電子系統,以及形成半導體結構與組件之方法 - Google Patents

半導體結構與組件,電子系統,以及形成半導體結構與組件之方法 Download PDF

Info

Publication number
TWI383485B
TWI383485B TW096124496A TW96124496A TWI383485B TW I383485 B TWI383485 B TW I383485B TW 096124496 A TW096124496 A TW 096124496A TW 96124496 A TW96124496 A TW 96124496A TW I383485 B TWI383485 B TW I383485B
Authority
TW
Taiwan
Prior art keywords
conductive
die
semiconductor
lining
insulating material
Prior art date
Application number
TW096124496A
Other languages
English (en)
Other versions
TW200812045A (en
Inventor
Steve Oliver
Warren M Farnworth
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200812045A publication Critical patent/TW200812045A/zh
Application granted granted Critical
Publication of TWI383485B publication Critical patent/TWI383485B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/033Manufacturing methods by local deposition of the material of the bonding area
    • H01L2224/0333Manufacturing methods by local deposition of the material of the bonding area in solid form
    • H01L2224/03334Manufacturing methods by local deposition of the material of the bonding area in solid form using a preform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/0384Reworking, e.g. shaping involving a mechanical process, e.g. planarising the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/0558Plural external layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP]
    • H01L2225/06586Housing with external bump or bump-like connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)

Description

半導體結構與組件,電子系統,以及形成半導體結構與組件之方法
本發明係關於半導體結構與組件、電子系統,且係關於形成半導體結構與組件之方法。
半導體製造者已開發出含有多個半導體晶粒之組件(在本文中亦稱作封裝)。舉例而言,系統級封裝(systems in a package)(SIP)應用可包括具有不同組態(諸如記憶組態、處理組態或特殊應用組態)之多個晶粒。該多個晶粒相對於單晶粒封裝可提供單一封裝中之增加之整合、安全性及效能。
各種多晶粒組件之一個態樣為其通常具有相對大之周邊輪廓及厚度。舉例而言,習知SIP具有在一共同基板上展開之兩個或兩個以上晶粒。此等組件通常具有比習知單晶粒半導體封裝大得多之佔據面積。將需要開發出含有多個晶粒然而有效地利用空間之封裝。亦將需要使該等封裝具有其中所固持之各種晶粒之間的穩固電連接。
本發明包括將貫穿晶圓互連形成為貫穿晶圓通孔內之傳導襯料的態樣。在一些態樣中,可利用圍堰填充(dam and fill)囊封方法來填充內襯通孔。本發明可生產含有兩個或兩個以上堆疊晶粒之有效堆疊晶粒組件。在特定態樣中,可利用真空填充製程來輔助以囊封物填充通孔。傳導襯料可包含任何合通之導電組合物或組合物之組合,且可(例如)包含銅。
參看圖1至圖27描述本發明之例示性態樣。
參看圖1,在一初步處理階段說明一半導體晶圓結構10。將該晶圓結構再分成複數個晶粒區域12、14、16、18、20、22、24、26及28;該等晶粒區域彼此分離且以凹道(street)40、42、44、46、48、50、52及54為邊界。將通常同樣地處理晶粒區域中之每一者以形成複數個相同晶粒。晶粒區域可稱作一次晶粒區域以將其與隨後可裝配至一次晶粒區域之其他晶粒區域區別開,且由一次晶粒區域形成之晶粒可稱作一次晶粒以將其與隨後可裝配至一次晶粒之其他晶粒區別開。
個別晶粒區域中之每一者具有:一經界定為相對中心定位之區域的內部區域60(僅標記其中之一些);及一經界定為在內部區域60周邊(具體言之,在內部區域側向外部)之區域的外部區域62(僅標記其中之一些)。內部引線接合位置71在內部區域內,外部引線接合位置73在外部區域內,且再分布層75自內部引線接合位置延伸至外部引線接合位置。以幻影圖展示再分布層以指示再分布層將在圖1之處理階段在其他材料之下。
內部引線接合延伸至與半導體晶粒相關聯之電路且常常如此緊密地包裝,以致難以將內部引線接合電連接至在半導體晶粒外部之電路。再分布層使內部引線接合能夠電耦接至較不緊密包裝之外部引線接合,該等較不緊密包裝之外部引線接合可較容易地電連接至外部電路。儘管在圖1之態樣中,"內部引線接合"位置經展示為在"外部引線接合"位置周邊內部,但應理解,亦存在類似於"內部引線接合"之至少一些接合實際上在類似於"外部引線接合"之至少一些接合外側(換言之,周邊外部)的態樣。
接著參看圖2,展示一穿過一次晶粒區域20且穿過鄰近一次晶粒區域18及22之部分的橫截面;且圖2相對於圖1以擴展比例展示。圖2之晶圓結構10具有一正面80及一相對背面82。正面與背面均延伸越過一次晶粒區域。
晶圓結構包含一基底半導體材料100。該基底半導體材料可包含矽、基本上由矽組成或由矽組成,且在一些態樣中可包含單晶矽、基本上由單晶矽組成或由單晶矽組成。通常,基底半導體材料將對應於半導體晶圓,諸如,單晶矽晶圓。
晶圓結構10之基底半導體材料可稱作第一基底材料以將該材料與併入具有晶圓10之一次晶粒之組件中的其他晶粒(以下論述)之半導體材料區別開。在一些態樣中,基底半導體材料可稱作半導體基板。為幫助解釋隨後之申請專利範圍,定義術語"半傳導基板"及"半導體基板"意謂包含半傳導材料之任何結構,結構包括(但不限於)諸如半傳導晶圓之主體半傳導材料(單獨地或在其上包含其他材料之組件中)及半傳導材料層(單獨地或在包含其他材料之組件中)。術語"基板"係指包括(但於限於)以上所述之半傳導基板的任何支撐結構。
在基底半導體材料之正面展示一區域102。區域102可包含積體電路(未圖示),且可認為該電路與一對應於基底材料100之半導體晶圓的正面相關聯。如一般熟習此項技術者所已知,積體電路可呈現為傳導材料、絕緣材料及/或半導體材料之多個圖案化層,且可(例如)包含各種記憶裝置及/或邏輯裝置。
一鈍化層84在區域102之電路之上。該鈍化層可包含任何合適之材料,包括(例如)聚對二甲苯基、聚醯亞胺、光阻及二氧化矽中之一或多者。可看見凹道42及44對應於圖案化至層84中之壓痕。
導電材料86之一襯墊延伸至鈍化層中,且將通常以適當之電互連(未圖示)與區域102之電路中之至少一些連接。材料86可包含任何合適之導電組合物或組合物之組合,且在一些態樣中,可包含鋁或銅、基本上由鋁或銅組成或由鋁或銅組成。材料86對應於一在位置71處之內部引線接合襯墊。
一圖案化電絕緣材料88在鈍化層84之上,且具有一穿過其延伸至材料86之一上表面的開口。絕緣材料88可包含任何合適之電絕緣組合物或組合物之組合,且將通常包含聚醯亞胺、基本上由聚醯亞胺組成或由聚醯亞胺組成。
導電材料90在材料88之上圖案化以在位置73處形成外部引線接合襯墊且形成再分布層75。材料90可包含任何合適之組合物或組合物之組合,且在一些態樣中,可包含鋁或銅、基本上由鋁或銅組成或由鋁或銅組成。
一圖案化電絕緣材料92在絕緣材料88之上且在圖案化傳導材料90中之一些之上。絕緣材料92具有穿過其延伸至外部引線接合襯墊及內部引線接合襯墊的開口。絕緣材料92可包含任何合適之組合物或組合物之組合,且在一些態樣中,可包含聚醯亞胺、基本上由聚醯亞胺組成或由聚醯亞胺組成。
絕緣材料88及92延伸至層84之壓痕中以使得凹道42及44之圖案轉印至材料92之一表面構形。
參看圖3,一圖案化遮罩104形成於結構10之上。該遮罩最初具備一穿過其延伸至位置71處之內部引線接合襯墊的開口106。圖案化遮罩可對應於(例如)光微影圖案化光阻。
在提供圖案化遮罩之後,使結構10經受合適之蝕刻以使開口延伸穿過內部引線接合襯墊之傳導材料86、穿過鈍化層84且延伸至基底半導體材料(或半導體晶圓)100中。因此,開口延伸穿過一晶粒區域之正面且延伸至該晶粒區域內所含有晶粒之基底半導體材料中。可認為基底半導體材料100內之開口係通孔106。將通常相對於圖1晶圓結構之所有晶粒區域而進行圖3之處理,且將通常進行圖3之處理以形成延伸穿過與晶粒區域中之每一者相關聯的內部引線接合襯墊中之每一者之通孔。穿過內部引線接合襯墊及鈍化層之蝕刻可包含任何合適之蝕刻或蝕刻之組合。在一些態樣中,內部引線接合襯墊可包含不同材料之一堆疊,且蝕刻可包含用於穿透該堆疊之各種材料及鈍化層的若干不同蝕刻。
通孔106可經形成至基底材料100內之任何合適之深度。在典型態樣中,基底材料100及區域102將具有一約為725微米之組合厚度103,且通孔106將延伸至材料100及區域102中至一約為150微米之厚度105。
通孔106具有一側壁周邊107(亦稱作側壁)及一底部周邊109(亦稱作底部),兩者沿基底材料100延伸。
參看圖4,移除遮罩104(圖3)。一電絕緣材料108形成於通孔106內,一阻障材料110形成於絕緣材料108之上,且一導電襯料112形成於阻障材料110之上。
絕緣材料108可包含任何合適之組合物或組合物之組合,且可(例如)包含聚對二甲苯基、旋塗式介電質及二氧化矽中之一或多者、基本上由聚對二甲苯基、旋塗式介電質及二氧化矽中之一或多者組成或由聚對二甲苯基、旋塗式介電質及二氧化矽中之一或多者組成。材料108可藉由最初在基板上及在通孔內提供材料且接著利用一間隔劑蝕刻來圖案化材料而形成為所示組態。絕緣材料108將通常藉由化學氣相沈積(CVD)、物理氣相沈積(PVD)及/或原子層沈積(ALD)而形成。
傳導襯料112可包含任何合適之組合物或組合物之組合,且在特定態樣中,可包含銅及鎳、基本上由銅及鎳組成或由銅及鎳組成。
阻障材料110係對銅擴散之阻障,且可包含任何合適之組合物或組合物之組合;且在特定態樣中可包含鈦、鉭及氮化鉭中之一或多者、基本上由鈦、鉭及氮化鉭中之一或多者組成或由鈦、鉭及氮化鉭中之一或多者組成。若傳導襯料112包含一除銅之外之材料,則可省略銅阻障110。另外,在一些態樣中有可能可省略絕緣材料108。
襯料112及阻障材料110可藉由任何合適之處理形成為所示組態。在一些態樣中,阻障材料之組合物可濺鍍沈積在通孔內及晶圓上;銅晶種材料可沈積在通孔內及晶圓上之阻障材料上;可在晶圓之上形成一電鍍遮罩(未圖示)以使通孔暴露;可在暴露之通孔內之晶種材料之上電鍍銅及鎳以形成包含晶種材料連同經電鍍銅及鎳之襯料112;可移除電鍍遮罩;且可藉由合適之處理移除在通孔外部之晶種材料及阻障材料。
層108、110及112可形成至各種厚度。在一些態樣中,傳導層112將具有一大於或等於約3微米之厚度,而層108及110將合起來具有一小於3微米之組合厚度。然而,應理解,可利用層之任何合適之厚度,且對於特定應用較佳之特定厚度可取決於(例如)通孔之幾何形狀及層之電性質。
在本發明之所示態樣中,層108並不延伸至傳導襯墊材料86之上,但層110及112確實延伸至傳導襯墊材料86之上。
可認為層108、110及112使通孔106變窄。當自上方觀察時,通孔106將通常為圓形或橢圓形(換言之,將具有類似於其延伸所至之內部引線接合襯墊位置71之形狀的形狀,且當在圖1之俯視圖中自上方觀察時,內部引線接合襯墊位置71經展示為具有大體上圓形或橢圓形之形狀)。因此,可認為層108、110及112形成通孔106內之容器。層112(例如)包含一沿通孔之側壁周邊之管狀區段114且包含一沿通孔之底部周邊之蓋116;且管狀區段及蓋合起來界定一容器形狀。
接著參看圖5,凸塊下材料118形成於位置73處之外部引線接合襯墊之傳導材料90之上,且形成於位置71處之內部引線接合襯墊上之傳導材料112之上。凸塊下材料可包含任何合適之組合物或組合物之組合,且通常將包含鎳底鍍金及/或鎳底鍍鈀。凸塊下材料可藉由(例如)無電極電鍍而形成。在一些態樣中,可在形成凸塊下材料118期間在通孔106內提供犧牲材料(未圖示)以阻止凸塊下材料形成在通孔內。可隨後移除該犧牲材料以留下圖5之結構。
接著參看圖6,焊球120接合至與位置73處之外部引線接合襯墊相關聯之凸塊下材料118。焊球120將通常大體上為球形;且可具有包括(例如)至少約為200微米、至少約為333微米或至少約為400微米之直徑的任何合適之直徑。在圖6之處理階段,一焊球未接合至內部引線接合襯墊位置71。
接著參看圖7,將溝槽122切割至凹道42及44之位置。該切割將在圖1中所示之所有凹道40、42、44、46、48、50、52及54之位置處發生。溝槽122可經形成以比通孔106深(如以下參看圖18至圖20所論述),在一些態樣中,其可包括形成溝槽以完全延伸穿過基底100;或如圖7中所示,溝槽122可經形成以不如通孔106深。溝槽可藉由將晶圓結構10安裝至一膜框架及接著使結構經受一分割切割而形成。
接著參看圖8,低傳導率(換言之,電絕緣)材料130形成於晶圓結構10之前表面上且在焊球120之間。材料130延伸至溝槽122及通孔106中,且較佳地均一填充溝槽及通孔。通孔106在圖8之處理階段藉由傳導襯料112而變窄,且相應地可認為材料130填充變窄之通孔。
材料130可包含任何合適之材料。在特定態樣中,材料130為藉由圍堰填充製程而沈積之熱固低黏度聚合材料(且可例如利用通常用於所謂的"液態封膠"囊封之材料)。圖9展示在圖8之處理階段之晶圓結構10的俯視圖。此展示一在晶圓結構之周邊周圍形成的障壁131,且展示填充一以該障壁為邊界之區域的低黏度材料130。障壁131可包含相對高黏度之囊封物材料,諸如購自LoctiteTM 之高黏度HysolTM 堰塞囊封物類型,諸如,FP4451TM 、FP4451TDTM 或FP6401TM 。低黏度材料130可包含熱固材料,且在特定態樣中,可包含購自LoctiteTM 之HysolTM 囊封物類型,諸如,CB0260TM 、CB064TM 、FP4450TM 、FP4450HFTM 、FP4450LVTM 、FP4460TM 、FP4470TM 或FP4652TM 。填充材料130較佳地具有類似於矽之熱膨脹係數的熱膨脹係數。
填充材料130可為在材料具有低黏度之第一條件下在結構10上流動且接著經受固化材料之第二條件的材料。在一些態樣中,填充材料130可為在材料具有合適低黏度之第一溫度下於結構10上流動且接著在第二溫度下固化以將材 料變換至大體上固體塊狀物之熱固材料。術語"大體上固體"用以指示已固化材料可為傳統固體或半固體(諸如膠體或玻璃)。在一些態樣中,材料在第一溫度下流動,且接著在高溫下固化(在一些態樣中,第一溫度可為約70℃至約80℃,且高溫可為約120℃)。在其他態樣中,材料可在第一溫度下流動且接著在第二溫度下固化,該第二溫度相對於第一溫度而降低或約與第一溫度相同。若固化溫度不同於材料130最初於結構10上流動之溫度,則可認為固化係材料之熱固化。
如以上參看圖1所論述,可在晶圓結構上存在具有眾多內部引線接合襯墊位置之眾多相同一次晶粒區域。將通常在各種一次晶粒區域上同樣地進行圖3至圖7之處理,使得在圖8及圖9之處理階段用材料130同時填充眾多通孔及凹道溝槽。在一些態樣中,可藉由使低黏度材料經受一或多個壓力改變序列來輔助相對低黏度之材料130流動至通孔及凹道溝槽中。舉例而言,可使低黏度材料經受一真空(換言之,小於大氣壓力且在一些狀況下比大氣壓力小得多之壓力)以將氣體自通孔及凹道溝槽穿過低黏度材料且藉此自通孔及凹道溝槽內部抽空氣體;且隨後可施加超過大氣壓力之壓力以將低黏度材料推至已抽空通孔及凹道溝槽中。利用真空繼之以超壓之製程可稱作"真空排氣"製程。在本發明之一些態樣中,可多次重複真空及超壓之序列。
於晶圓之正面上形成絕緣材料130可有利地提供一可用於在結構之後續操作期間固持結構10的手柄(換言之,可充當晶圓之載體)。
接著參看圖10,使結構10經受適當之處理以形成在焊球120及絕緣材料130上延伸的大體上平坦化表面123。該表面稱作"大體上"平坦化以指示表面經平坦化以在所利用之處理及量測方法之限制內,其可包括(但不限於)充分平坦之表面。大體上平坦化之表面123之形成可藉由任何合適之方法實現且可(例如)藉由以適當之磨料(在一些態樣中,磨料可包含鑽石)研磨而實現。
大體上平坦化之表面123包括焊球120之平坦化之表面。可認為焊球之平坦化之表面係傳導節點121,其中之至少一些與外部引線接合襯墊電耦接。在平坦化之後,材料130在傳導節點121之間但不在傳導節點之上延伸。
圖11展示在圖10之處理階段之後的處理階段的結構10,且展示相對於圖10之視圖倒置之結構。圖11之結構已相對於圖10之結構變薄。具體言之,已自結構之背面82移除基底半導體材料100以暴露通孔106內之傳導襯料112之一區段132。
將通常在至少兩個步驟中進行材料100自背面之移除。最初,可藉由使晶粒之背面經受研磨來實現第一快速及粗糙移除。研磨將在暴露區段132之前停止以避免由於研磨而使區段132無意移除。通常,將進行研磨直至區段132在背面之一表面之下凹入小於約五微米。隨後,藉由一相對於區段132之傳導材料(通常,傳導材料112)而對材料100為選擇性之蝕刻自背面移除額外矽材料100。該蝕刻可為電漿蝕刻且可利用(例如)SF6 。展示蝕刻穿透過絕緣材料108及阻障材料110。然而,應理解,蝕刻用以暴露一電連接至延伸穿過通孔之傳導襯料的傳導區段,且相應地在阻障導電之態樣中蝕刻可能穿透阻障材料或可能不穿透阻障材料。
蝕刻被稱作相對於區段132之傳導材料而對材料100為選擇性的。應將此理解為指示蝕刻以一比蝕刻移除區段132之傳導材料之速率快的速率移除材料100,其可包括(但不限於)相對於區段132之暴露之傳導材料而對材料100為100%選擇性的蝕刻。
結構10之變薄可移除已在通孔106之上的背面材料,且因此在圖11之處理階段(如圖所示)通孔可完全延伸穿過基底材料100。
由於在本發明之所示態樣中,延伸至凹道42及44中之溝槽122不如通孔106深,故結構之變薄並不導致該等溝槽內之絕緣材料130之暴露。
在本發明之所示態樣中,形成平坦化之表面123之研磨在材料100之變薄之前發生以暴露傳導區段132。應理解,在本發明之其他態樣中,處理可為反的,以使得材料100之變薄在形成平坦化之表面123之前發生。
接著參看圖12,一鈍化層134形成於材料100之暴露之背面表面上。鈍化層134可包含任何合適之組合物或組合物之組合,且在特定態樣中可包含聚對二甲苯基、聚醯亞胺、光阻及二氧化矽中之一或多者、基本上由聚對二甲苯基、聚醯亞胺、光阻及二氧化矽中之一或多者組成或由聚對二甲苯基、聚醯亞胺、光阻及二氧化矽中之一或多者組成。鈍化層134經圖案化以使區段132暴露於一延伸穿過鈍化層之開口內。該圖案化可藉由(例如)光微影、蝕刻及/或拋光而實現。在一些態樣中,可利用省略鈍化層134之堆疊配置。
可認為在圖12之處理階段之襯料112包含一沿通孔106之側壁周邊的管狀區段,且包含一延伸越過該管狀區段且沿一次晶粒區域之背面暴露的蓋(對應於暴露之區段132)。在一些態樣中,蓋可與一次晶粒區域之背面表面共平面或大致共平面。
接著參看圖13及圖14,在一次晶粒區域之背面之上提供複數個二次晶粒136、138、140、142、144、146、148及150。此形成一包含二次晶粒及結構10之組件135。
在一些態樣中,可在提供二次晶粒之前測試一次晶粒區域以確定一次晶粒區域中之哪些係"良好"的且哪些係有缺陷的。可認為良好之一次晶粒區域係"已知良好晶粒"(KGD)區域。可提供二次晶粒以僅與KGD區域成對以使得二次晶粒不會由於與有缺陷的一次晶粒區域成對而浪費。因此,圖14展示一次晶粒區域26,其不具有與其成對之二次晶粒,而代替地以"X"標記以指示晶粒區域之測試已識別晶粒區域中之一缺陷。
二次晶粒具有正面152及與正面成相對關係之背面154。二次晶粒具有二次基底半導體材料156,其可包含與一次基底半導體材料100相同之組合物;且因此可包含矽(且在特定態樣中可為單晶矽)、基本上由矽(且在特定態樣中可為單晶矽)組成或由矽(且在特定態樣中可為單晶矽)組成。二次晶粒具有含有積體電路之正面區域158。又,二次晶粒具有類似於以上對於一次晶粒論述的位置71處之內部引線接合襯墊及位置73處之外部引線接合襯墊的內部引線接合襯墊160及外部引線接合襯墊162。最後,展示二次晶粒包含類似於以上對於一次晶粒參看圖2論述之各種材料的在正面上之絕緣材料及傳導材料。
電互連164經形成以自二次晶粒144之內部引線接合襯墊160延伸至一次晶粒區域20之傳導區段132。相似互連將形成於其他二次晶粒與已知良好一次晶粒(與二次晶粒成對)之間。所示互連164係焊球。儘管展示焊球164約與已連接至一次晶粒區域之正面的焊球120相同大小,但焊球164可替代地小於焊球120-且此可能比具有約與焊球120相同大小之焊球164典型。焊球164將通常大體上為球形且將具有小於或等於約100微米之直徑。舉例而言,焊球164可具有約為80微米之直徑;但應理解,焊球之特定較佳直徑可(尤其)取決於內部引線接合之間距。焊球164可被稱作第二焊球以將其與先前論述之第一焊球120區別開。
在一些態樣中,可認為一次晶粒區域之正面係第一正面,且可認為二次晶粒之正面係第二正面。區域158內的與第二正面相關聯之電路與區域102內的與第一正面相關聯之電路經由焊球164及通孔106內之導電襯料112而電連接。
在電互連164包含焊球之態樣中,焊球可最初接合至一次晶粒或二次晶粒,且接著隨後接合至一次晶粒及二次晶粒中之另一者。
儘管未圖示,但應理解,二次晶粒可具有類似於與一次晶粒相關聯之材料118的凸塊下傳導材料。
接著參看圖15,絕緣材料170經流動以填充一次晶粒區域之背面與二次晶粒之正面之間的空間,亦填充二次晶粒之間的空間。絕緣材料170在一些態樣中可為與材料130(以上論述)相同之熱固材料;或在其他態樣中可與材料130不同。
可認為二次晶粒具有側壁表面141、背面表面143及正面表面145;且絕緣材料經展示以沿所有該等表面流動(或在一些態樣中沈積)。
接著參看圖16,相對於圖15之視圖倒置地展示組件135。絕緣材料170已在二次晶粒之背面表面143上平坦化以形成一平坦化之表面171。又,焊球172已接合至大體上平坦化之傳導節點121。該接合可藉由以下來完成:(例如)將黏性助熔劑置放於大體上平坦化之傳導節點表面上,將焊球172置放於黏性助熔劑上及接著熱處理焊球172及大體上平坦化之焊接表面121以將焊球172接合至表面121。焊球亦可具備一利用熱壓縮、焊黏及接著回焊之製程。
焊球172可用於將根據本發明之態樣而形成的封裝(換言之,組件)電連接至在封裝外部之電路。在一些態樣中,可省略球172,且表面121可直接用於電連接至在封裝外部之電路。
接著參看圖17,結構10藉由沿凹道切割以形成一包含與二次晶粒144成對之一次晶粒20的半導體組件或封裝而隔離(注意,晶圓之一次晶粒區域20已經由晶粒自晶圓之隔離而變為一次晶粒20)。由於溝槽122(圖16)並不完全延伸穿過一次晶粒之基底半導體材料100,故隔離之組件包含沿一次晶粒100之未被絕緣材料135或絕緣材料170覆蓋的且因此暴露之側壁邊緣的區190。額外絕緣材料可經沈積以在必要時囊封該等暴露之側壁邊緣。
在圖1至圖17中所示的本發明之態樣中,隔離之二次晶粒堆疊於尚未隔離(換言之,仍為晶圓之部分)的一次晶粒之上。然而,應理解,本發明亦可包括在形成一次及二次晶粒之堆疊組件之前隔離一次晶粒的態樣;且可包括在一次晶圓之上提供二次晶圓以形成一組件之態樣,一次晶粒/二次晶粒封裝隨後自該組件隔離。
在一些態樣中,可認為圖17之結構含有一次半導體晶粒20,其具有一正面,該正面具有與其相關聯之電路102;具有一與正面成相對關係之背面;具有一外部周邊;及具有在該半導體晶粒中的自正面延伸至背面之至少一通孔106。可進一步認為結構含有一在通孔內且亦在外部周邊之至少一部分周圍(在材料130及170為彼此相同之組合物的態樣中)的電絕緣材料。可進一步認為圖17之結構包含在一次晶粒之背面外部的電路(該電路與二次晶粒之區域158相關聯)及一在通孔內且將在一次晶粒之背面外部之電路與二次晶粒之正面所關聯之電路中之至少一些電互連的傳導襯料112。
在一些態樣中,可認為圖17之結構含有一次半導體晶粒20,其具有一正面,該正面具有與其相關聯之電路102;具有一與正面成相對關係之背面;及具有在該半導體晶粒中的並自正面延伸至背面之一或多個通孔106。結構進一步含有:該一或多個通孔內的沿周邊之一或多個傳導襯料112;在該一或多個傳導襯料之上且在該一或多個通孔內之絕緣材料130;及自晶粒背面外部延伸穿過傳導襯料中之至少一者且延伸至與正面相關聯之電路中之至少一些的一或多個電連接。
在一些態樣中,可認為圖1至圖17之方法包含提供一次半導體晶粒20,其具有一正面,該正面具有與其相關聯之電路;具有一與正面成相對關係之背面;及具有一外部周邊。可認為方法進一步包含形成自正面穿過晶粒延伸至背面之至少一通孔106。此外,可認為方法包含在通孔內且亦在外部周邊之至少一部分周圍形成一電絕緣材料130/170。在所示態樣中,電絕緣材料130完全填充通孔或(換言之)阻斷通孔。展示傳導襯料112在於通孔內形成電絕緣材料之前形成於通孔內。可認為傳導襯料使通孔變窄,且可認為電絕緣材料填充變窄之通孔。
圖18至圖20說明本發明之一態樣,其中形成溝槽122比通孔106延伸得深,且其中因此消除圖17之暴露之側壁邊緣190。
圖18展示在類似於圖7之處理階段之處理階段的結構10,但展示比通孔106延伸得深之溝槽122。
圖19展示在圖18之處理階段之後且類似於以上參看圖11論述之處理階段之處理階段的結構10。然而,與圖11形成對比,由於已最初形成該等溝槽比通孔106深,溝槽122在圖19之處理階段完全延伸穿過基底材料100。
圖20展示一類似於圖17之組件但利用圖19之結構而形成的隔離之組件。由於溝槽122完全延伸穿過基底材料100,故絕緣材料130完全覆蓋圖20之一次晶粒之基底半導體材料100的側壁邊緣。在一些態樣中,可認為圖20之組件係"6x"組件,因為矩形形狀晶粒之所有六個表面用絕緣材料130及170完全囊封。
在圖18至圖20的本發明之態樣之一些應用中,可在二次晶粒之囊封之前完全完成用以隔離一次晶粒的第一晶圓之分割。
以上所論述之方法形成具有一對晶粒之半導體封裝。應理解,亦可利用本發明之方法來形成具有兩個以上晶粒之封裝。此可藉由堆疊具有貫穿晶圓互連之多個晶粒而實現。晶粒可在已自半導體晶圓隔離至少一些之後堆疊;或在晶粒之任何隔離之前堆疊。
圖21展示一包含三個半導體晶粒202、204及206之組件200。可認為晶粒202、204及206分別係一次晶粒、二次晶粒及三次晶粒。
一次晶粒202類似於以上所論述之一次晶粒且包含一正面201及背面203。積體電路(未圖示)將通常接近正面。在一次晶粒之外部引線接合位置處展示平坦化之焊球120,且展示焊球172接合至焊球120。一次晶粒202具有一延伸穿過其之通孔106及一在該通孔內之傳導襯料。
二次晶粒204包含一正面205及背面207。積體電路(未圖示)將通常接近正面。一類似於通孔106之通孔210延伸穿過二次晶粒且此以一傳導襯料內襯。通孔210可稱作第二通孔以將其與一次晶粒內之第一通孔106區別開,且第二通孔內之襯料可稱作第二襯料以將其與第一通孔內之第一襯料區別開。
一焊球214將第二襯料與第一襯料電連接,且因此將與二次晶粒204相關聯之電路電耦接至與一次晶粒202相關聯之電路。
三次晶粒206包含一正面209及一背面211。正面具有一經由一焊球220電連接至第二傳導襯料之內部引線接合襯墊位置。因此,與三次晶粒206相關聯之電路經由第二傳導襯料電耦接至與二次晶粒204相關聯之電路。
絕緣材料230囊封組件200且在一次晶粒202、二次晶粒204及三次晶粒206周圍延伸。材料230亦填充內襯通孔106及210。材料230可與以上所論述之材料130相同。
儘管未圖示,但應理解,二次及三次晶粒可具有類似於與一次晶粒相關聯之材料118的凸塊下傳導材料。
圖22展示包含三個半導體晶粒302、304及306之另一組件300。可認為晶粒302、304及306分別係一次晶粒、二次晶粒及三次晶粒。
一次晶粒302類似於以上所論述之一次晶粒且包含一正面301及背面303。積體電路(未圖示)將通常接近正面。在一次晶粒之外部引線接合位置處展示平坦化之焊球120,且展示焊球172接合至焊球120。一次晶粒302具有一延伸穿過其之通孔106及一在該通孔內之傳導襯料。在所示態樣中,傳導襯料與沿晶粒302之背面延伸之互連311電連接。
二次晶粒304包含一正面305及背面307。積體電路(未圖示)將通常接近正面。一類似於通孔106之通孔310延伸穿過二次晶粒且此以一傳導襯料內襯。通孔310可稱作第二通孔以將其與一次晶粒內之第一通孔106區別開,且第二通孔內之襯料可稱作第二襯料以將其與第一通孔內之第一襯料區別開。通孔310內之傳導襯料與一傳導互連315電連接。
一焊球314將第二襯料與第一襯料電連接,且因此將與二次晶粒304相關聯之電路電耦接至與一次晶粒302相關聯之電路。焊球314自通孔106及310側向偏移,且電連接至互連311及315。
三次晶粒306包含一正面309及一背面317。積體電路(未圖示)將通常接近正面。一類似於通孔106之通孔350延伸穿過三次晶粒且此以一傳導襯料內襯。通孔350可稱作第三通孔,且第三通孔內之襯料可稱作第三襯料。通孔350內之傳導襯料與一沿三次晶粒306之正面延伸之傳導互連351電連接,且與一沿三次晶粒306之背面延伸之互連353電連接。
與三次晶粒306相關聯之電路經由一焊球320電耦接至與二次晶粒304相關聯之電路,該焊球經展示自通孔310及350側向偏移。
儘管未圖示,但應理解,二次及三次晶粒可具有類似於與一次晶粒相關聯之材料118的凸塊下傳導材料。
可使用包括(例如)以上所論述之真空排氣製程的任何合適之處理來在組件300周圍提供囊封物(未圖示)。
儘管以上所展示及描述之態樣具有提供於相對於其他引線接合襯墊而中心定位於一晶粒上之引線接合中的通孔,但應理解,通孔可額外或替代地提供於周邊定位之引線接合中。在一些態樣中,此可對應於類似於"內部引線接合襯墊"之接合襯墊實際上在類似於"外部引線接合襯墊"之接合襯墊周邊外部的應用。
可在眾多應用中利用根據本發明之態樣而形成的半導體組件。舉例而言,組件可併入諸如電腦系統、電話、汽車、飛機、攝錄機、相機、醫學裝置等的各種電子系統中。組件可提供該等系統內之各種電路功能,包括記憶及/或處理功能。圖23至圖27說明包含根據本發明之各種態樣而形成的半導體封裝500(舉例而言,封裝可對應於圖17及圖20至圖22之組件中之任何者)之例示性電子系統。封裝經組態以與存在於電子系統中之電路相互作用,且執行相對於該電路之所要功能,諸如記憶及/或處理。
參看圖23,一電腦系統524包括封裝500中之一或多者,封裝500可以一合適之方式安裝至電腦系統524。封裝500可經組態以執行電腦系統524中之一所要功能,諸如記憶(具體言之,短期記憶,諸如隨機存取記憶體)、儲存(具體言之,長期記憶)或微處理。
參看圖24,一數位攝錄機系統526包括一或多個封裝500,封裝500可以一合適之方式安裝且經組態以執行攝錄機系統526中之一或多個所要電路功能。
參看圖25,一相機系統528包括一或多個封裝500,封裝500可以一合適之方式安裝且經組態以執行相機系統528中之一或多個所要電路功能。
參看圖26,一蜂巢式電話系統530包括一或多個封裝500,封裝500可以一合適之方式安裝且經組態以執行蜂巢式電話系統530中之一或多個所要電路功能。
參看圖27,一醫學裝置系統532包括一或多個封裝500,封裝500可以一合適之方式安裝且經組態以執行醫學裝置系統532中之一或多個所要電路功能。
10...半導體晶圓結構
12...晶粒區域
14...晶粒區域
16...晶粒區域
18...晶粒區域
20...晶粒區域
22...晶粒區域
24...晶粒區域
26...晶粒區域
28...晶粒區域
40...凹道
42...凹道
44...凹道
46...凹道
48...凹道
50...凹道
52...凹道
54...凹道
60...內部區域
62...外部區域
71...內部引線接合位置
73...外部引線接合位置
75...再分布層
80...正面
82...背面
84...鈍化層
86...導電材料、傳導襯墊材料
88...絕緣材料
90...導電材料
92...電絕緣材料
100...基底半導體材料、半導體晶圓
102...區域、電路
103...組合厚度
104...圖案化遮罩
105...厚度
106...開口、通孔
107...側壁周邊
108...電絕緣材料、層
109...底部周邊
110...阻障材料、層
112...導電襯料、傳導層
114...管狀區段
116...蓋
118...凸塊下材料
120...焊球
121...傳導節點、大體上平坦化之焊接表面
122...溝槽
123...大體上平坦化之表面
130...低傳導率(電絕緣)材料、低黏度材料、填充材料
131...障壁
132...區段
134...鈍化層
135...組件
136...二次晶粒
138...二次晶粒
140...二次晶粒
141...側壁表面
142...二次晶粒
143...背面表面
144...二次晶粒
145...正面表面
146...二次晶粒
148...二次晶粒
150...二次晶粒
152...正面
154...背面
156...二次基底半導體材料
158...正面區域
160...內部引線接合襯墊
162...外部引線接合襯墊
164...電互連、焊球
170...絕緣材料
171...平坦化之表面
172...焊球
190...區、側壁邊緣
200...組件
201...正面
202...半導體晶粒
203...背面
204...半導體晶粒
205...正面
206...半導體晶粒
207...背面
209...正面
210...通孔
211...背面
214...焊球
220...焊球
230...絕緣材料
300...組件
301...正面
302...半導體晶粒
303...背面
304...半導體晶粒
305...正面
306...半導體晶粒
307...背面
309...正面
310...通孔
311...互連
314...焊球
315...互連
317...背面
320...焊球
350...通孔
351...傳導互連
353...互連
500...半導體封裝
524...電腦系統
526...數位攝錄機系統
528...相機系統
530...蜂巢式電話系統
532...醫學裝置系統
圖1為在本發明之一例示性態樣之初步處理階段的半導體晶圓結構之俯視圖。
圖2為圖1之晶圓結構沿圖1之線2-2的斷片之圖解橫截面側視圖。圖2之比例與圖1之比例不同。
圖3為在圖2之處理階段之後的處理階段所示的圖2之斷片之視圖。
圖4為在圖3之處理階段之後的處理階段所示的圖2之斷片之視圖。
圖5為在圖4之處理階段之後的處理階段所示的圖2之斷片之視圖。
圖6為在圖5之處理階段之後的處理階段所示的圖2之斷片之視圖。
圖7為在圖6之處理階段之後的處理階段所示的圖2之斷片之視圖。
圖8為在圖7之處理階段之後的處理階段所示的圖2之斷片之視圖。
圖9為一包含圖8之斷片的半導體晶圓結構沿線8-8之俯視圖。圖9之比例與圖1之比例相同,且與圖8之比例不同。
圖10為在圖8之處理階段之後的處理階段所示的圖2之斷片之視圖。
圖11為在圖10之處理階段之後的處理階段所示的圖2之斷片之視圖。圖11之斷片相對於圖10倒置地展示。
圖12為在圖11之處理階段之後的處理階段所示的圖11之斷片之視圖。
圖13為以一組件且在圖12之處理階段之後的處理階段所示的圖11之斷片之視圖。
圖14為一含有圖13之組件之半導體晶圓結構的俯視圖。
圖14之比例與圖1之比例相同,且與圖13之比例不同。圖14之晶圓相對於圖1之晶圓倒置地展示。
圖15為在圖13之處理階段之後的處理階段所示的圖13之組件之視圖。
圖16為在圖15之處理階段之後的處理階段所示的圖13之組件之視圖。圖16之組件相對於圖15之組件倒置地展示。
圖17為在圖16之處理階段之後的處理階段所示的圖13之組件之視圖。圖17之組件相對於圖16之組件倒置地展示。
圖18為在圖6之處理階段之後的處理階段所示的圖2之斷片之視圖,其根據本發明之一態樣代替圖7之視圖。
圖19為在類似於圖11之處理階段之處理階段所示且相對於圖18之視圖倒置地展示之圖18之斷片的視圖。
圖20為一包含圖18之斷片且根據類似於圖1至圖17之處理之處理而形成的組件之視圖。
圖21為一包含多個半導體晶粒之組件且說明本發明之另一態樣的視圖。
圖22為一包含多個半導體晶粒之組件且說明本發明之又一態樣的視圖。
圖23為一併有根據本發明之態樣而建構之元件的電腦系統之圖解視圖。
圖24為一併有根據本發明之態樣而建構之元件的攝錄機系統之圖解視圖。
圖25為一併有根據本發明之態樣而建構之元件的相機系統之圖解視圖。
圖26為一併有根據本發明之態樣而建構之元件的蜂巢式電話系統之圖解視圖。
圖27為一併有根據本發明之態樣而建構之元件的醫學裝置系統之圖解視圖。
10...半導體晶圓結構
20...晶粒區域
22...晶粒區域
24...晶粒區域
42...凹道
44...凹道
71...內部引線接合位置
73...外部引線接合位置
80...正面
84...鈍化層
86...導電材料、傳導襯墊材料
88...絕緣材料
90...導電材料
92...電絕緣材料
100...基底半導體材料、半導體晶圓
102...區域、電路
106...開口、通孔
108...電絕緣材料、層
110...阻障材料、層
112...導電襯料、傳導層
118...凸塊下材料
120...焊球
121...傳導節點、大體上平坦化之焊接表面
122...溝槽
123...大體上平坦化之表面
130...低傳導率(電絕緣)材料、低黏度材料、填充材料
132...區段
134...鈍化層
135...組件
142...二次晶粒
144...二次晶粒
146...二次晶粒
152...正面
154...背面
156...二次基底半導體材料
158...正面區域
160...內部引線接合襯墊
162...外部引線接合襯墊
164...電互連、焊球

Claims (58)

  1. 一種半導體組件,其包含:一第一半導體晶粒,其具有一第一正面,該第一正面具有與其相關聯之電路;具有一與該第一正面成相對關係之第一背面;及具有在該第一半導體晶粒中的並自該第一正面延伸至該第一背面之一通孔;該通孔具有在該第一晶粒內之側壁;一電絕緣襯料,其位在該通孔內,該電絕緣襯料係沿著該通孔之該等側壁且直接倚靠該第一半導體晶粒;該電絕緣襯料並不跨越該通孔而延伸;一阻障層,其位於該電絕緣襯料之上;一第一導電層,其位在該通孔內且直接倚靠該電絕緣襯料;該第一導電層包含鈦、鉭及氮化鉭中之一或多者;該第一導電層沿著該通孔之該等側壁延伸,且不跨越該通孔而延伸;一第二導電層,其位在該通孔內且直接倚靠該第一導電層;該第二導電層包含銅並沿著該通孔之該等側壁且跨越該通孔而延伸;該電絕緣襯料、該第一導電層及該第二導電層一起使該通孔變窄;電絕緣材料,其沿著該第二導電層且在該一或多個通孔內,該電絕緣材料完全填滿變窄之該通孔;一第二半導體晶粒,其具有一第二正面,該第二正面具有與其相關聯之電路;及一電連接,其自與該第二正面相關聯之該電路中的至 少一些穿過該第二導電層至與該第一正面相關聯之該電路中的至少一些,該電連接包括一焊球,該焊球直接接觸該第二導電層且並不直接接觸該第一導電層。
  2. 如請求項1之組件,其中該第一及該第二半導體晶粒分別具有第一及第二基底半導體材料;且其中該第一及該第二基底半導體材料由矽組成。
  3. 如請求項1之組件,其中該絕緣材料係一聚合材料。
  4. 如請求項1之組件,其進一步包含在該等傳導層與該等絕緣材料間隔劑之間的銅擴散阻障材料。
  5. 如請求項4之組件,其中該銅擴散阻障材料包含鈦、鉭及氮化鉭中之一或多者。
  6. 如請求項4之組件,其中該等個別傳導層具有大於或等於約3微米之厚度,且其中該銅擴散阻障材料具有小於3微米之組合厚度。
  7. 如請求項1之組件,其中該第二傳導層包含一沿一通孔之一側壁之管狀區段且包含一在該管狀區段上之蓋。
  8. 如請求項1之組件,其中該第一晶粒中之該通孔係一第一通孔;其中該一或多個傳導層係第一傳導層;其中該第二晶粒具有一與該第二正面成相對關係之第二背面;且該組件進一步包含:一或多個第二通孔,其在該第二晶粒內且自該第二正面延伸至該第二背面;該一或多個第二通孔具有在該第二晶粒內之側壁;一或多個第二傳導襯料,其沿著該一或多個第二通孔 之該等側壁在該一或多個第二通孔內以使該一或多個第二通孔變窄;第二電絕緣材料,其在該一或多個變窄之第二通孔內,且填充該一或多個變窄之第二通孔;一第三半導體晶粒,其具有一第三正面,該第三正面具有與其相關聯之電路;及一電連接,其自與該第三正面相關聯之該電路中的至少一些穿過該等第二傳導層中之至少一者至與該第二正面相關聯之該電路中的至少一些。
  9. 如請求項8之組件,其中該焊球係一第一焊球,其中該第三晶粒係在該第二晶粒之上;該組件進一步包含一自該第三正面延伸至一第二傳導層之一第二焊球;且其中自與該第三正面相關聯之該電路中的至少一些至與該第二正面相關聯之該電路中的至少一些之該電連接延伸穿過該第二焊球且穿過該等導電層之至少一第二者。
  10. 如請求項1之組件,其中該通孔係具有該電絕緣襯料、該第一導電層、該第二導電層、及該電絕緣材料於其中之複數個通孔之一者。
  11. 一種半導體組件,其包含:一第一晶粒,其具有一第一正面,該第一正面具有與其相關聯之電路;具有一與該第一正面成相對關係之第一背面;具有一經界定內部區域及一在該內部區域側向外部之經界定外部區域;具有在該內部區域內且自該第一正面延伸至該第一背面之通孔且向下進入該基板之一 基底半導體材料,該等通孔具有在該第一晶粒內之側壁;外部襯墊,其在該第一晶粒之該外部區域內;傳導節點,其與該等外部襯墊電耦接;絕緣襯料,其在該等通孔之內,一阻障層形成於該絕緣襯料之上;傳導材料裏襯,其在該等通孔內且在該阻障層上;傳導再分布層,其自該等傳導材料裏襯延伸至該等外部襯墊;一絕緣材料,其填充該等內襯通孔且在該第一正面上延伸但不在該等傳導節點之上延伸;一第二晶粒,其具有一第二正面,該第二正面具有與其相關聯之電路;及電連接,其自與該第二正面相關聯之該電路中的至少一些穿過該等傳導裏襯中之一或多者至與該第一正面相關聯之該電路中的至少一些。
  12. 如請求項11之組件,其中該等傳導節點包含焊接。
  13. 如請求項11之組件,其中該等傳導節點包含具有大體上平坦表面之焊接結構,且該組件進一步包含接合至該等大體上平坦表面之焊球。
  14. 如請求項11之組件,其中該第一晶粒具有自該正面延伸至該背面之第一側壁表面;其中該第二晶粒具有自該第二正面延伸至該第二背面之第二側壁表面;其中該絕緣材料在該第二晶粒之該背面上延伸;且其中該絕緣材料 沿該等第二側壁表面之一整體延伸且至少部分地沿該等第一側壁表面延伸。
  15. 如請求項14之組件,其中該絕緣材料亦在該第一與該第二晶粒之間的一區域內延伸。
  16. 如請求項14之組件,其中該絕緣材料沿該等第一側壁表面之僅一部分延伸。
  17. 如請求項14之組件,其中該絕緣材料沿該等第一側壁表面之一整體延伸。
  18. 如請求項11之組件,其中該第二晶粒係在該第一晶粒之上;該組件進一步包含一自該第二正面延伸至一傳導材料裏襯之焊球;且其中自與該第二正面相關聯之該電路中的至少一些至與該第一正面相關聯之該電路中的至少一些之該電連接延伸穿過該焊球且穿過該一傳導材料裏襯。
  19. 一種電子系統,其包含:電子電路;及一與該電路電連接之半導體封裝,該封裝包含:一第一半導體晶粒,其具有一第一正面,該第一正面具有與其相關聯之電路;具有一與該第一正面成相對關係之第一背面;及具有在該第一半導體晶粒中的並自該第一正面延伸至該第一背面之一或多個通孔;該一或多個通孔具有在該第一晶粒內之側壁;一絕緣襯料,其在該等通孔內,具有一阻障層位於該絕緣襯料上; 一或多個傳導襯料,其在該阻障層上沿著該等側壁在該一或多個通孔內;絕緣材料,其在該一或多個傳導襯料之上且在該一或多個通孔內;一第二半導體晶粒,其具有一第二正面,該第二正面具有與其相關聯之電路;及一電連接,其自與該第二正面相關聯之該電路中的至少一些穿過該等傳導襯料中之至少一者至與該第一正面相關聯之該電路中的至少一些。
  20. 如請求項19之系統,其係一電腦、攝錄機、相機、電話或醫學裝置。
  21. 一種在一半導體封裝之多個晶粒之間電連通的方法,其包含:提供該封裝之一第一半導體晶粒以具有:一第一正面,該第一正面具有與其相關聯之電路、一與該第一正面成相對關係之第一背面、一自該第一正面延伸至該第一背面之通孔、一絕緣襯料、一阻障層及一在該通孔內之傳導襯料及一填充該內襯通孔之電絕緣栓塞;提供該封裝之一第二半導體晶粒以接合至該第一半導體晶粒之該第一背面,且具有一第二正面,該第二正面具有與其相關聯之電路;及自與該第二正面相關聯之該電路沿該襯料傳遞一信號至該第一正面。
  22. 一種形成一結構之方法,其包含: 提供一半導體晶粒,其具有一正面,該正面具有與其相關聯之電路;具有一與該正面成相對關係之背面;及具有一外部周邊;形成自該正面延伸穿過該晶粒至該背面的至少一通孔;以位在一阻障層上之一傳導襯料作為該通孔之裏襯;及在該通孔內且亦在該外部周邊之至少一部分周圍形成一電絕緣材料。
  23. 如請求項22之方法,其中該電絕緣材料阻斷該通孔。
  24. 如請求項22之方法,其進一步包含在於該通孔內形成該電絕緣材料之前在該通孔內形成該傳導襯料,該傳導襯料使該通孔變窄且該電絕緣材料填充該變窄之通孔。
  25. 如請求項22之方法,其中該半導體晶粒係一第一半導體晶粒,且該方法進一步包含:提供一第二半導體晶粒,其具有一第二正面,該第二正面具有與其相關聯之電路;及將與該第一晶粒之該正面相關聯之該電路中的該至少一些與該第二正面所關聯之電路經由該傳導襯料而電互連。
  26. 一種形成一半導體組件之方法,其包含:提供一包含複數個第一半導體晶粒之第一晶圓;該等第一晶粒具有第一正面及與該等第一正面成相對關係之第一背面;形成延伸穿過該等第一正面且延伸至該等第一晶粒中 的通孔;在該通孔內於一介電質襯料上形成一阻障層;在該等通孔內於該阻障層上形成傳導襯料;以絕緣材料填充該等內襯通孔;暴露該等傳導襯料之區段;提供具有第二正面之第二半導體晶粒;提供自該等第二正面延伸至該等傳導襯料之該等暴露之區段的電互連;及自該第一晶圓隔離該等第一半導體晶粒。
  27. 如請求項26之方法,其中該等第二半導體晶粒經提供為隔離之晶粒。
  28. 如請求項26之方法,其中該等第二半導體晶粒共同經提供為一第二晶圓;且其中該隔離自該第二晶圓隔離該等第二半導體晶粒。
  29. 如請求項26之方法,其中該等第一半導體晶粒之該隔離在該等電互連之該提供之後發生。
  30. 如請求項26之方法,其中該等第一半導體晶粒之該隔離在該等電互連之該提供之前發生。
  31. 如請求項26之方法,其進一步包含在該等第一半導體晶粒之該隔離之前囊封該等第一及該等第二晶粒之外部表面。
  32. 如請求項26之方法,其中該等傳導襯料之該區段之該暴露包含該等第一晶粒之變薄。
  33. 如請求項26之方法,其中該絕緣材料之該形成包含使囊 封物材料在該等通孔內流動及接著熱固化該囊封物材料。
  34. 如請求項33之方法,其進一步包含在該熱固化之前,執行該囊封物材料用以改變壓力之至少一暴露序列以輔助該囊封物材料至該等通孔中之該流動。
  35. 如請求項26之方法,其中該等電互連係焊球。
  36. 如請求項26之方法,其中該等第一晶粒包含沿該等第一正面之外部引線接合襯墊、沿該等第一正面之內部引線接合襯墊及自該等內部引線接合襯墊延伸至該等外部引線接合襯墊之傳導再分布層;其中該等通孔延伸穿過該等內部引線接合襯墊,且該等傳導襯料經形成以與該等內部引線接合襯墊電耦接;且該方法進一步包含將焊球接合至該等外部引線接合襯墊。
  37. 如請求項36之方法,其中該等焊球係第一焊球;且其中該絕緣材料在該等通孔之該填充期間形成於該等第一正面之上,且該方法進一步包含:研磨該絕緣材料及第一焊球以形成在該絕緣材料及該等第一焊球上延伸的大體上平坦化之表面;及將第二焊球連接至該等第一焊球之該等大體上平坦化之表面。
  38. 如請求項37之方法,其中形成該等大體上平坦化之表面的該絕緣材料及該等第一焊球之該研磨在自該等第二正面延伸至該等傳導襯料之該等暴露之區段的該等電互連之該提供之前發生。
  39. 如請求項38之方法,其中該等第二焊球之該連接在自該等第二正面延伸至該等傳導襯料之該等暴露之區段的該等電互連之該提供之後發生。
  40. 一種形成一半導體組件之方法,其包含:提供一第一半導體晶粒;該第一晶粒具有一第一正面及具有一與該第一正面成相對關係之第一背面;形成一延伸穿過該第一正面且延伸至該第一晶粒中的通孔;在該通孔內於一絕緣襯料上形成一阻障層;在該通孔內形成一傳導襯料;將焊球連接至該第一正面;在該第一正面之一周邊周圍形成一相對高黏度之材料之一堰塞區域,且使相對低黏度之材料在該堰塞區域內流動以覆蓋該等焊球之間的該第一正面並填充該通孔;在該通孔內且在該第一正面之上將該相對低黏度之材料固化成一大體上固體之絕緣材料;研磨該等焊球及大體上固體之絕緣材料以在該第一正面之上形成一大體上平坦化之表面;使該第一晶粒變薄以暴露該傳導襯料之一區段;提供一具有一第二正面之第二半導體晶粒;及提供一自該第二正面延伸至該傳導襯料之該暴露之區段的電互連。
  41. 如請求項40之方法,其中該相對低黏度材料之該流動係在一第一溫度下進行,且該相對低黏度材料之該固化包 含將該溫度改變至一第二溫度。
  42. 如請求項41之方法,其進一步包含在該相對低黏度材料之該流動之後且在該相對低黏度材料之該固化之前,執行該相對低黏度材料用以改變壓力之至少一暴露序列以輔助該相對低黏度材料至該通孔中之該流動。
  43. 如請求項40之方法,其中該等焊球係第一焊球,且其中該電互連包含一第二焊球。
  44. 如請求項43之方法,其中該等第一焊球具有大於或等於約200微米之第一直徑,且其中該第二焊球具有一小於或等於約100微米之第二直徑。
  45. 如請求項40之方法,其中該變薄在該研磨之後發生。
  46. 一種形成複數個半導體組件之方法,其包含:提供一包含含有一次晶粒之複數個一次晶粒區域的半導體晶圓;該半導體晶圓具有一在該等一次晶粒區域上延伸之正面,及具有一與該正面成相對關係的在該等一次晶粒區域上延伸之背面;在個別一次晶粒區域內形成通孔,該等通孔延伸穿過該正面且延伸至該半導體晶圓中;在該通孔內於一絕緣襯料上形成一阻障層;在該等通孔內形成傳導襯料;以絕緣材料填充該等內襯通孔;使該半導體晶圓變薄以暴露該等傳導襯料之區段;提供具有正面之複數個二次晶粒;提供自個別二次晶粒之正面延伸至與個別一次晶粒區 域相關聯之傳導襯料之區段的電互連;及在形成該等電互連之後,自該半導體晶圓隔離該等一次晶粒以形成個別地包含與該等一次晶粒中之一者成對的該等二次晶粒中之一者的半導體組件。
  47. 如請求項46之方法,其中該半導體晶圓具有在該等一次晶粒區域之間的凹道,且該方法進一步包含:形成沿該等凹道延伸至該半導體晶圓中的溝槽;及與該等內襯通孔以該絕緣材料之該填充同時以該絕緣材料填充該等溝槽。
  48. 如請求項47之方法,其中該等溝槽延伸至一在該半導體晶圓內至少與該等通孔一樣深之深度;且其中該變薄暴露該等溝槽內之該絕緣材料。
  49. 如請求項47之方法,其中該等溝槽延伸至一在該半導體晶圓內不如該等通孔深之深度;且其中該變薄並不暴露該等溝槽內之該絕緣材料。
  50. 如請求項46之方法,其中該等一次晶粒區域具有包含內部引線接合襯墊之內部區域,具有包含外部引線接合襯墊之外部區域,及具有自該等內部引線接合襯墊延伸至該等外部引線接合襯墊之再分布層;且其中該等通孔經形成以延伸穿過該等內部引線接合襯墊。
  51. 如請求項50之方法,其進一步包含在該等內襯通孔以該絕緣材料之該填充之前將焊球接合至該等外部引線接合襯墊;及在該等內襯通孔以該絕緣材料之該填充期間提供該絕緣材料以在該半導體晶圓之在該等焊球之間的該 正面上延伸。
  52. 如請求項51之方法,其進一步包含研磨該絕緣材料及焊球以形成一在該絕緣材料及該等焊球上延伸的大體上平坦化之表面。
  53. 如請求項52之方法,其中該等焊球係第一焊球;且其中該等電互連係自該等第二正面延伸至該等傳導襯料之該等暴露之區段的第二焊球。
  54. 如請求項53之方法,其中形成該大體上平坦化之表面的該絕緣材料及焊球之該研磨在自該等第二正面延伸至該等傳導襯料之該等暴露之區段的該等第二焊球之該提供之前發生。
  55. 如請求項46之方法,其進一步包含在該等電互連之該提供之後且在該隔離之前在該等一次晶粒區域與該等二次晶粒之間提供該絕緣材料。
  56. 如請求項46之方法,其進一步包含提供具有正面之複數個三次晶粒,及提供自個別三次晶粒之正面至個別二次晶粒之該等正面的電互連;且其中該隔離該等一次晶粒區域形成該等半導體組件以個別地包含該等三次晶粒中之一者連同該等二次晶粒中之該者及該等一次晶粒中之該者。
  57. 如請求項56之方法,其中形成於該等一次晶粒區域中之該等通孔係第一通孔;其中該等二次晶粒具有與該等正面成相對關係之背面;該方法進一步包含形成自該等個別二次晶粒之該等正面延伸至該等背面的傳導內襯之第 二通孔;其中該等三次晶粒係沿該等二次晶粒之該等背面;且其中自該等個別三次晶粒之該等正面至該等個別二次晶粒之該等正面的該等電互連之該形成包含將與該等個別三次晶粒之該等正面相關聯之電路電連接至該等傳導內襯之第二通孔之該等傳導裏襯。
  58. 如請求項57之方法,其中與該等個別三次晶粒之該等正面相關聯之該電路至該等傳導內襯之第二通孔之該等傳導裏襯的該電連接包含在該等個別三次晶粒之該等正面與該等二次晶粒之該等背面之間延伸的一或多個焊球。
TW096124496A 2006-07-06 2007-07-05 半導體結構與組件,電子系統,以及形成半導體結構與組件之方法 TWI383485B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/483,002 US7626269B2 (en) 2006-07-06 2006-07-06 Semiconductor constructions and assemblies, and electronic systems

Publications (2)

Publication Number Publication Date
TW200812045A TW200812045A (en) 2008-03-01
TWI383485B true TWI383485B (zh) 2013-01-21

Family

ID=38858911

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096124496A TWI383485B (zh) 2006-07-06 2007-07-05 半導體結構與組件,電子系統,以及形成半導體結構與組件之方法

Country Status (3)

Country Link
US (3) US7626269B2 (zh)
TW (1) TWI383485B (zh)
WO (1) WO2008005161A2 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7262121B2 (en) * 2004-07-29 2007-08-28 Micron Technology, Inc. Integrated circuit and methods of redistributing bondpad locations
US7626269B2 (en) 2006-07-06 2009-12-01 Micron Technology, Inc. Semiconductor constructions and assemblies, and electronic systems
US20080237961A1 (en) * 2007-03-30 2008-10-02 Honda Motor Co., Ltd. Pad for holding blank and die assembly therewith
TWI364793B (en) * 2007-05-08 2012-05-21 Mutual Pak Technology Co Ltd Package structure for integrated circuit device and method of the same
US7838424B2 (en) * 2007-07-03 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced reliability of wafer-level chip-scale packaging (WLCSP) die separation using dry etching
US7834462B2 (en) * 2007-09-17 2010-11-16 Qimonda Ag Electric device, stack of electric devices, and method of manufacturing a stack of electric devices
US7952187B2 (en) * 2008-03-31 2011-05-31 General Electric Company System and method of forming a wafer scale package
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8174131B2 (en) * 2009-05-27 2012-05-08 Globalfoundries Inc. Semiconductor device having a filled trench structure and methods for fabricating the same
US8093090B1 (en) * 2009-10-12 2012-01-10 Micron Technology, Inc. Integrated circuit edge and method to fabricate the same
US20110221053A1 (en) * 2010-03-11 2011-09-15 Qualcomm Incorporated Pre-processing to reduce wafer level warpage
KR20120000748A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8492260B2 (en) 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
US8853072B2 (en) 2011-06-06 2014-10-07 Micron Technology, Inc. Methods of forming through-substrate interconnects
US8922013B2 (en) * 2011-11-08 2014-12-30 Stmicroelectronics Pte Ltd. Through via package
US8519516B1 (en) 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
US9012324B2 (en) * 2012-08-24 2015-04-21 United Microelectronics Corp. Through silicon via process
US8981533B2 (en) 2012-09-13 2015-03-17 Semiconductor Components Industries, Llc Electronic device including a via and a conductive structure, a process of forming the same, and an interposer
KR20150004005A (ko) * 2013-07-02 2015-01-12 에스케이하이닉스 주식회사 스택 패키지 및 이의 제조방법
US10128207B2 (en) * 2015-03-31 2018-11-13 Stmicroelectronics Pte Ltd Semiconductor packages with pillar and bump structures
US9812354B2 (en) 2015-05-15 2017-11-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a material defining a void
US9917043B2 (en) 2016-01-12 2018-03-13 Advanced Semiconductor Engineering, Inc. Semiconductor package device and method of manufacturing the same
US10600759B2 (en) * 2016-01-12 2020-03-24 Advanced Semiconductor Engineering, Inc. Power and ground design for through-silicon via structure
CN106981452B (zh) * 2016-01-15 2021-05-07 日月光半导体制造股份有限公司 硅穿孔结构的电源和接地设计
US10304802B2 (en) 2016-05-02 2019-05-28 International Business Machines Corporation Integrated wafer-level processing system
US10354976B2 (en) 2016-07-22 2019-07-16 Invensas Corporation Dies-on-package devices and methods therefor
CN108780772B (zh) * 2017-02-13 2023-07-14 深圳市汇顶科技股份有限公司 硅通孔芯片的二次封装方法及其二次封装体
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10115709B1 (en) * 2017-07-07 2018-10-30 Micron Technology, Inc. Apparatuses comprising semiconductor dies in face-to-face arrangements

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6720661B2 (en) * 2000-06-02 2004-04-13 Seiko Epson Corporation Semiconductor device, method of fabricating the same, stack-type semiconductor device, circuit board and electronic instrument
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US20050056903A1 (en) * 2003-08-28 2005-03-17 Satoshi Yamamoto Semiconductor package and method of manufacturing same
US20060087042A1 (en) * 2004-10-26 2006-04-27 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method of the same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4906314A (en) * 1988-12-30 1990-03-06 Micron Technology, Inc. Process for simultaneously applying precut swatches of precured polyimide film to each semiconductor die on a wafer
US5801452A (en) * 1996-10-25 1998-09-01 Micron Technology, Inc. Multi chip module including semiconductor wafer or dice, interconnect substrate, and alignment member
EP0933809B1 (en) * 1998-02-02 2006-11-29 Shin-Etsu Chemical Co., Ltd. Method for mounting flip-chip semiconductor devices
US6008070A (en) * 1998-05-21 1999-12-28 Micron Technology, Inc. Wafer level fabrication and assembly of chip scale packages
US6112735A (en) * 1999-03-02 2000-09-05 Micron Technology, Inc. Complete blade and wafer handling and support system without tape
US6225206B1 (en) * 1999-05-10 2001-05-01 International Business Machines Corporation Flip chip C4 extension structure and process
DE10110203B4 (de) * 2001-03-02 2006-12-14 Infineon Technologies Ag Elektronisches Bauteil mit gestapelten Halbleiterchips und Verfahren zu seiner Herstellung
TW506097B (en) * 2001-10-17 2002-10-11 Apack Technologies Inc Wafer level chip scale package structure and its manufacturing method
JP2003289073A (ja) * 2002-01-22 2003-10-10 Canon Inc 半導体装置および半導体装置の製造方法
US6908784B1 (en) * 2002-03-06 2005-06-21 Micron Technology, Inc. Method for fabricating encapsulated semiconductor components
US6790775B2 (en) * 2002-10-31 2004-09-14 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US7880305B2 (en) * 2002-11-07 2011-02-01 International Business Machines Corporation Technology for fabrication of packaging interface substrate wafers with fully metallized vias through the substrate wafer
US6852627B2 (en) * 2003-03-05 2005-02-08 Micron Technology, Inc. Conductive through wafer vias
US6908856B2 (en) * 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US6984583B2 (en) * 2003-09-16 2006-01-10 Micron Technology, Inc. Stereolithographic method for forming insulative coatings for via holes in semiconductor devices
US7101792B2 (en) * 2003-10-09 2006-09-05 Micron Technology, Inc. Methods of plating via interconnects
JP4139803B2 (ja) * 2004-09-28 2008-08-27 シャープ株式会社 半導体装置の製造方法
US7396732B2 (en) * 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US7626269B2 (en) 2006-07-06 2009-12-01 Micron Technology, Inc. Semiconductor constructions and assemblies, and electronic systems
JP5330863B2 (ja) * 2009-03-04 2013-10-30 パナソニック株式会社 半導体装置の製造方法
US8399987B2 (en) * 2009-12-04 2013-03-19 Samsung Electronics Co., Ltd. Microelectronic devices including conductive vias, conductive caps and variable thickness insulating layers
US8405222B2 (en) * 2010-06-28 2013-03-26 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with via and method of manufacture thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6720661B2 (en) * 2000-06-02 2004-04-13 Seiko Epson Corporation Semiconductor device, method of fabricating the same, stack-type semiconductor device, circuit board and electronic instrument
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US20050056903A1 (en) * 2003-08-28 2005-03-17 Satoshi Yamamoto Semiconductor package and method of manufacturing same
US20060087042A1 (en) * 2004-10-26 2006-04-27 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method of the same

Also Published As

Publication number Publication date
US8513113B2 (en) 2013-08-20
WO2008005161A3 (en) 2008-04-24
US20080006931A1 (en) 2008-01-10
US20100041180A1 (en) 2010-02-18
US7626269B2 (en) 2009-12-01
TW200812045A (en) 2008-03-01
US20130330922A1 (en) 2013-12-12
WO2008005161A2 (en) 2008-01-10

Similar Documents

Publication Publication Date Title
TWI383485B (zh) 半導體結構與組件,電子系統,以及形成半導體結構與組件之方法
TWI744411B (zh) 半導體裝置、晶粒堆疊結構、封裝結構及其製造方法
US10840217B2 (en) Stacked chip package and methods of manufacture thereof
CN110660675B (zh) 半导体装置及形成方法
KR102285215B1 (ko) 멀티-티어 3d 집적용 다이 적층
TWI664685B (zh) 具有無矽基底的中介層的封裝及其形成方法
TWI573223B (zh) 空腔基板保護之積體電路
TWI783269B (zh) 封裝、半導體封裝及其形成方法
TWI588966B (zh) 具有加強框的積體電路組件及製造方法
KR100826979B1 (ko) 스택 패키지 및 그 제조방법
TW202220520A (zh) 形成微電子組件的方法
TW201737447A (zh) 以晶粒接合至經形成重佈線的三維積體電路封裝及其形成方法
TWI812168B (zh) 三維元件結構及其形成方法
KR102598745B1 (ko) 웨이퍼 온 웨이퍼 본딩 구조체
CN114464576A (zh) 半导体封装件和形成半导体封装件的方法
TWI830201B (zh) 半導體封裝結構及其形成方法
KR20230127836A (ko) 활성 다이 및 더미 다이를 본딩하는 방법 및 그의 구조
KR102450735B1 (ko) 반도체 디바이스 및 제조 방법
TWI822153B (zh) 封裝結構及其形成方法
TWI842343B (zh) 裝置封裝、半導體封裝及封裝方法
TWI846411B (zh) 半導體裝置及其製造方法
TW202345291A (zh) 半導體封裝以及形成半導體封裝的方法