TWI380367B - Methods of modifying oxide spacers - Google Patents

Methods of modifying oxide spacers Download PDF

Info

Publication number
TWI380367B
TWI380367B TW097126546A TW97126546A TWI380367B TW I380367 B TWI380367 B TW I380367B TW 097126546 A TW097126546 A TW 097126546A TW 97126546 A TW97126546 A TW 97126546A TW I380367 B TWI380367 B TW I380367B
Authority
TW
Taiwan
Prior art keywords
spacers
layer
edge
oxide
spacer
Prior art date
Application number
TW097126546A
Other languages
English (en)
Other versions
TW200924059A (en
Inventor
Joseph Neil Greeley
Paul Morgan
Mark Kiehlbauch
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200924059A publication Critical patent/TW200924059A/zh
Application granted granted Critical
Publication of TWI380367B publication Critical patent/TWI380367B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

1380367 九、發明說明: 【發明所屬之技術領域】 本發明之實施例係關於降低間隔物及線特徵之線粗糙度 之方法。 【先前技術】 在製造半導體裝置中存在使特徵大小與尺寸在亞微米水 平不斷減小之趨勢。窄的寬度/直徑及緊密間距之特徵諸 如互連線及接觸開口要求藉由高解析度之光微影製程產生 小特徵尺寸。當用小寬度或臨界尺寸(CD)及緊密間距距離 定義線時’由於特徵尺寸小且緊密,所以在圖案化該等特 徵過程中出現之變化變成難題。一種該變化已知為”線邊 緣粗糙度"(LER) ’其為在圖案化特徵之邊界(諸如線邊緣 或側壁)上之使其看上去凹凸不平而不平滑的不需要邊緣 及凸塊之水平偏差或量測。此外,線理想地為平直的使得 寬度對於該特徵之全長大致相同(例如臨界尺寸25 寬之 線)。"線寬粗糙度"(LWR)係由於非均勻線邊緣沿其長度之 峰-至-谷振幅之變化而引起的線特徵之寬度*CD之偏差。 可例如藉由上覆光阻或硬質罩幕圖案内之相應缺陷在後 續蝕刻期間轉移至下伏材料層或膜中導致在圖案化特徵中 出現諸如LWR及LER之線缺陷。隨著設備特徵之尺寸不斷 縮小使得在圖案化期間引入之線缺陷變得更加顯著,其藉 由造成超過允許極限之通道及線尺寸之變化及/或導致對 設備效能及功能造成顯著不利效應(包括漏電及短通道效 應控制之問題)之特徵之不適當覆·蓋或布局控制而影響製 132950.doc 1380367 程控制。舉例而言,具有7〇 11〇1或7〇 nm以下之寬度、3打江 或3 nm以上之峰·至_谷1^^尺的線可使後續圖案化之特徵的 改變超過可接受公差。 已試圖藉由消除不合需要之曲線及諸如鬚晶之突起物來 減少基於氧化物之罩幕線上之線缺陷。包括諸wHF濕式化 ' 學法之濕式蝕刻的處理各向同性地(亦即垂直方向與水平 * 方向相同地)蝕刻氧化物特徵使得該特徵總體修整(變薄)而 並未消除不需要之曲線或使線變直。包括使材料(例如聚 合物)沈積以使線特徵平滑繼而再進行電漿蝕刻重新調整 特徵大小的其他處理亦降低線的垂直高度或厚度,此在罩 幕結構中並不合乎需要。 提供一種用於消除特徵中之線粗糙度缺陷之方法將適用 於解決此等或其他問題。 【實施方式】 本發明之實施例在下文中參考下列隨附圖式加以描述, φ 其僅出於說明之目力。貫穿下列視圖,在該等圖式中將使· 用參考數字,且貫穿若干圖及在描述中將使用相同之參考 數子來指示相同或相似部分。 . TΜ參考圖式之描述提供根據本發明之實施例之裝置及 ‘方法的說明性實例。該等描述僅出於說明之目的且並非出 於限制其之目的。 本申請案之上下文中,術語"半導體基板·,或"半傳導美 或"半傳導晶圓碎片,•或,,晶圓碎片"或"晶圓,ι將理解為= 明任何包含半導體材料之構造,其包括(但不限於)諸如半 132950.doc 1380367 導早獨或其上包含其他材料之組件)之塊體半傳導 材料及半傳導材料層(單獨或包含其他材料之組件)。術語 "基板"係指包括(但不限於)上文所描述之半傳導基板、晶 圓碎片或晶圓之任何支撐結構。 在本發明之實施例中’如圖1-3B中所說明,可實施該方 法來降低線邊緣粗糙度(LER)及使氧化物材料層中之諸如 線性開口之特徵的表面平滑。
囷1說明一基板ίο(例如晶圓),其具有—由以一間隔且 通常相i平行關係延伸之獨立式線或間隔物14之圖案所組 成之硬質罩幕,以將線性開口之圖案轉移至一下伏材料層 12内,該材料層尤其可為例如介電抗反射塗層⑴,富 矽氮氧化矽)、非晶碳、氮化矽、多晶矽、結晶矽、金屬 層。間隔物14(例如硬質罩幕)包括例如Si〇x、ALD Si〇x、 四乙基正矽酸鹽(TEOS)氧化物、DARC(富矽氮氧化矽)及
其類似物之氧化矽組分,在所描述之實施例中為氧化矽 (SiOx)。 間隔物14可根據已知方法形成。舉例而言,可藉由將間 隔物材料之覆層沈積於圖案化之臨時層(例如光阻、非晶 碳等)之側壁上及自水平表面移除間隔物材料(諸如藉由使 用碳氟化合物電漿之各向異性蝕刻)繼而移除該臨時層(例 如使用諸如〇2之有機剝除製程)以留下垂直延伸之獨立式 間隔物來形成該等間隔物。舉例而言,可藉由原子層沈 積、化學氣相沈積(例如使用〇3及TEOS作為前驅物)等來沈 積間隔物材料之覆層。參見例如US 2006/0211260(Tran等 132950.doc 1380367 人),其揭示内容以引用之方式併人本文中。形成間隔物 14使其具有所要寬度或臨界尺寸(CD),例如約255〇订出, 及在一些實施例中為約10_2〇 nm。由於間隔物14係形成於 圖案化光阻之侧壁上,所以ALD氧化物沈積製程之保形屬 性導致存在於圖案化光阻中之LWR轉移至氧化物間隔物 14 ° 如圓1A中所展示,由於不均勻且呈波狀之邊緣“之輪廓 沿垂直表面或側壁20具有峰18a(凸或抬高之表面特徵)與谷 18b(凹或内陷之表面特徵)及變化之峰_至谷(或凸_至凹) 之LWR振幅22,所以氧化物間隔物14沿間隔物之長度呈現 如CDw所指示之"線寬粗糙度(LWR)"或臨界尺寸(寬度)偏 差。 在本發明之實施例中,應用利用介於氣相蝕刻與濕式蝕 刻之間的氟化物加工技術之方法以藉由降低或消除沿具有 約5 nm或5 nm以下(或約〇.1 nm_5 nm*至多約2 nm)之峰· 至-谷之LWR振幅(或厚度)之邊緣16之峰18a來降低氧化物 間隔物14之線邊緣16之線寬粗糙度(LWR)。本發明之實施 例經由使沿間隔物14之邊緣及側壁之材料反應且隨後將其 移除而達到縮小間隔物(線)及/或使間隔物之垂直及水平表 面平滑之目的。 在第一步中藉由進行化學氧化物移除(C〇R)製程來加工 氧化物間隔物14,繼而進行諸如後熱處理(PHT)製程之處 理以移除所形成之反應副產物材料。囷2Α·2Β說明用於進 行COR處理與ΡΗΤ處理之先前技術系統。該等系統描述於 132950.doc 1380367 (例如)US 2006/0219660 及 US 2006/0196527(Nishimura 等 人)、US 2005/02181 14(Yue 等人)及 US 2007/0010906 (Abe)(Tokyo Electron Limited (TEL), Japan)中,其揭示之 内容以引用之方式併入本文中。 如圊2A中所展示,可將帶有氧化物間隔物14之基板10放 置於一第一加工系統24中,以進行化學氧化物移除(COR) 處理,該處理為不自加工氣體產生電漿之乾式蝕刻製程。 在根據本發明之COR製程之實施例中,在受控溫度及反應 氣體之分壓下將氧化物間隔物14暴露於氟化銨化學物中歷 時固定時間以使其進行化學反應且使部分氧化物間隔物材 料14轉化為熱可分解材料(例如(NH4)2SiF6)。可實施該方 法以達成自規定之特徵受控移除小規模構形(相對於大批 修整氧化物層而言),例如以降低或消除間隔物14之峰18a 以重塑邊緣16之輪廓且使其變平直。 如所說明,COR加工系統24可包括一真空加工室26、一 具有一用於該基板10之支撐件(例如晶圓夾盤)之安裝台 28、加工氣體之氣體供應管線30a、30b、一具有一用於控 制氣體溫度之加熱元件(未展示)及多個用於將加工氣體引 入加工室26之開口 34的氣體分配器32(諸如簇射頭)、一氣 體排出口 36及一用於循環冷卻劑以使基板保持在所要溫度 之冷卻通道38。
在該方法之實施例中,加工氣體為視情況含有諸如氬 (Ar)之惰性載氣之氨(NH3)氣與氟化氫(HF)氣體之氣體混合 物。當進行C0R加工時,向獨立緩衝室内供應NH3氣與HF 132950.doc -10· 1380367 氣體且氣體經由開口 34擴散至加工室26中(圓2a)。可將室 26加熱至&供約25°c -80〇C之壁表面溫度的溫度以防止副產 物在室壁上黏附。 如囷3A中所描述,NHb/HF氣體混合物與氧化物間隔物 14反應以使沿側壁2〇(及頂面)之氧化物材料部分轉化成熱 可分解化合物六氟矽酸銨((NH4)2SiF6)層40〇應用氣體混 合物使得銨基自由基或物質(NHj自由基)經由先前所形成 之(NhhSiF6層擴散至下伏氧化物材料,其中蝕刻氧化物 層之多餘厚度且使其轉化為(NH4)2SiF6。不同於其他基於 氟之策略,該蝕刻機制係藉由擴散至氧化物材料所推動, 因而其為擴散限制性的(自我限制)且可控制的。增厚之 (NAhSiF6層40充當擴散障壁以逐漸阻礙且降低銨物質之 擴散’使反應速率減慢且最終使製程結束。接著將氣體泵 出室外。 由於COR製程為擴散限制性的,所以與平坦表面相比, 沿氧化物間隔物14之側壁20(邊緣16)之較多暴露之&區 (峰)18a比對較少暴露之凹區(谷)i8b之蝕刻通常更快(且程 度更大),使得經固定時間段在峰18a中形成更厚之副產物 層40 »較高表面區("峰")比••谷"具有更高或加速之蝕刻速 率使得有效移除沿間隔物14之側壁20(邊緣16)之包括”鬚晶" 之凸起或突出之氧化物材料(峰18a)。 C OR加工可進行^又固疋時間以使在間隔物14表面上及 沿其邊緣16與側壁20之所有或一部分嶂· i8a及/或其他特徵 化學轉化(蝕刻)及可終止加工。接著可將基板1〇轉移至 132950.doc Ρ Η T加執官,甘a t …、至在具中加工且釋放反應副產物((NH4)2SiF6)層 4〇。可例如使用原子力顯微鏡(AFM)、穿透式電子顯微鏡 (TEM)及掃描式電子顯微鏡(sem)來檢查開口之邊緣及側 壁上之表面待徵。 可例如藉由改變反應氣體(例如NH3、HF)之氣體流速、 至中之氟壓、基板溫度及/或COR反應之加工時間來 控制在COR加工期間轉化之氧化物材料(例如峰18幻之量。 舉例而έ,在根據本發明之實施例中,可在一定加工條 件下進行COR製程以引起反應且使具有約5 nm或5 nm以下 (例如約0.1-5 nm)或至多約2 nm4 3 nm之厚度或振幅22之 特徵(例如峰183)轉化,該等加工條件包括:各氣體物質 (NH3 HF)之氣體流速為約30-50 seem,例如約35-45 seem 或約40 seem ;載氣(例如氬)之氣體流速為約3〇_4〇 sccm, 例如約3 5 seem,NH3 :HF流速(sccm)比為約2:丨至約丨:2,例 如約1 · 1,HF之分壓(ρρ)為約5-1 〇 mT〇rr,例如約7 mT〇rr ; HF蒸氣溫度為約2〇-7〇t:,例如約25-45。(:;加工室26内之 氣壓為約 10-30 mTorr,例如約 15_25 mT〇rr或約2〇 mT〇rr ; 室頂/壁之溫度為約60-8(TC ;平台(基板)溫度為約25· 45°C,例如約40°C ;及加工時間為約30秒至約5分鐘,例 如約90-120秒。可如此進行COR製程以達成受控地減少包 括鬚晶”及其他自氧化物層表面突出之特徵的相對較小(例 如約0.1-5 nm厚或約0.1-2 nm厚)之峰isa。 進行COR製程後,接著將基板加熱以移除已形成之反 應產物((NI^LSiF6)層40,使下伏未反應之氧化物層“暴 132950.doc •12- 1380367 露。在一些實施例中,如圓28中所說明,將基板1〇運送至 加工系統42中以進行後熱處理(PHT)以揮發且移除反應產 物層40。在其他實施例中,可將基板1〇運送至諸如水沖洗 系統之基板沖洗系統(未展示)令。 在所說明之先前技術系統中,PHT加工設備42可包括一 • 加工室44、一具有經配置以加熱已經受COR製程之基板1〇 • 之加熱元件48的安裝台46及一氣體排出口 s〇e 一轉移系統 • (未展示)可連接COR加工系統24與PHT加工系統42用於在 處理系統之間轉移基板。 將基板10加熱使得副產物((NHOJiF6)層40釋放且熱分 解(例如揮發)。可將基板1〇(例如晶圓)在約5〇〇 mT〇rr至約 1 Torr之氣壓下加熱至約80_200t:(例如至約15〇。〇之溫度 歷時通常約60-1 80秒範圍内之時間。可藉由使不反應之氣 體(例如A等等)流動穿過室44來使揮發性氣體組分(例如 H20、NH3、SiF4、HF、N2、H2)經為卜出口 5❶排盡。 % 如囷38中所展示,熱處理移除副產物((NH4)2SiF6)層40 以降低或消除沿間隔物14之侧壁20之峰18a,形成平滑之 邊緣(現為16,)及側壁(現為20,:^根據本發明之實施例,依 次進行C0R處理及材料移除製程,且可重複該等製程以移 除沿側壁20,之邊緣16,及表面之峰18a之額外的氧化物材料 (例如鬚晶等),而進一步修改且形成間隔物邊緣之輪廓。 降低間隔物之LER後’可視情況(例如)使用習知之aLD加 工沈積新氧化物材料以增加寬度(CD)且修改間隔物之外 形0 132950.doc -13· 1380367 本發明之實施例從而可用於藉由側向平坦化間隔物之側 壁以使峰-至-谷之LWR振幅降低至所要邊緣輪廓使得臨界 尺寸(CD)量測沿間隔物長度更加一致來降低或消除氧化物 間隔物硬質罩幕之線寬粗糙度(LWR)及/或線邊緣粗糙度 (LER),且達成更平滑之邊緣及側壁表面。該等方法可用 於產生沿間隔物長度具有更加均勻且一致之寬度之罩幕間 隔物(線),以降低罩幕間隔物與隨後蝕刻之特徵之臨界尺 寸(CD)偏差。 儘管在本文中已對特定實施例進行說明及描述,但一般 熟習此項技術者應瞭解經計算可達成相同目的之任何配置 可替代所展示之特定實施例。本申請案意欲涵蓋任何根據 所描述之本發明之原則所進行之修改或變化。因此,希望 本發明僅受申請專利範圍及其對等物之限制。在本申請案 中所引用之專利、參照案及公開案之揭示内容係以引用之 方式併入本文中。 【圖式簡單說明】 圓1說月根據本發明之一實施例之處於初步加工階段之 4分基板之正視剖面圖’該圖展示形成於該基板上之氧化 物間隔物。圏J A為圖1中所描述之基板沿線1八_丨A所繪之 圖不性頂部平面圖,該圖展示顯示線寬粗糙度(LWR)之一 對間隔物之縱剖面。 圈2 A 2B為根據本發明之實施例之先前技術加工系統之 正視剖面圖’其包括一用於進行化學氧化物移除(COR)製 程之6又備(圈2八)與—用於進行後熱處理(PHT)製程之設備 132950.doc • 14- 1380367 (囷 2B)。 圓3A-3B為在根據本發明之實施例處於後續加工階段之 圖1A中所描述之該等間隔物之頂部平面圖。 【主要元件符號說明】
1A-1A 線 10 基板 12 下伏材料層 14 間隔物/獨立式線或間隔物/氧化物間隔物/ 氧化物間隔物材料/下伏未反應之氧化物層 16 邊緣/線邊緣 16' 邊緣 18a 峰 18b 谷 20 侧壁 20' 侧壁 22 變化之峰·至-谷(或凸-至-凹)之LWR振幅 24 COR加工系統/第一加工系統 26 真空加工室/加工室/室 28 安裝台 30a ' 30b 氣體供應管線 32 氣體分配器 34 開口 36 氧體排出口 38 冷卻通道 132950.doc -15- 401380367
42 44 46 48 50 cd,.4 熱分解化合物六氟矽酸銨((NH4)2SiF6)層/ 副產物層/反應副產物((NH4)2SiF6)層/反應 產物層 PHT加工系統/加工系統/PHT加工設備 加工室/室 安裝台 加熱元件 氣體排出口 /排出口 線寬粗糙度(LWR)"或臨界尺寸(寬度)偏差
132950.doc -16-

Claims (1)

  1. 第097126546號專利申請案 中文申請專利範圍替換本(101今8月)…^ 十、申請專利範圍: K 一種用於降低以彼此間隔開且大致平行關係延 質罩幕之間隔物的邊緣之線寬粗糙度(LWR)之方法,該 方法包含: 進行化學氧化物移除以使沿該等邊緣之該等間隔物之 一部分轉化為一可分解化合物層;及 處理該可分解化合物層以自該等間隔物之該等邊緣移 除該可分解化合物層; 其中該等間隔物之該等邊緣之該線寬粗糖度(LWr)經 降低。 2·如請求項1之方法,其中該等間隔物之臨界尺寸沿該等 間隔物之長度大體上相同。 3· 一種用於降低以一彼此間隔開且大致平行關係延伸之— 硬質罩幕之間隔物的一邊緣之線粗糙度之方法,該方 包含: Λ 進行化學氧化物移除以使沿該等間隔物之邊緣之凸部 轉化為可分解材料;及 解=該可分解材料以自該等間隔物之邊緣移除該可分 4. 其中該等間隔物之料邊緣之該線_度經降低。 際低以一彼此間隔開且大致平行關係延伸之一 包含: 视沒之方法,該方法 之氣體混合物 暴露該等間隔物於氨氣與氟化氫氣體 132950-1010816.doc 1380367 中,以使沿該等間隔物之該邊緣之凸部轉化為—六氟矽 酸錄((NH4)2SiF6)層;及 分解該六氟矽酸鉉層,其中該等間隔物之該邊緣之該 粗糙度經降低。 5. 6·
    如請求項4之;r*’其中暴露該等間隔物於該氣體混合 物係在可有效轉化該等間隔物之約〇 i nm_5 11111厚度之凸 部的加工條件下進行,加工條件包括預定之氣流量氣 壓、基板溫度及時間段。 如請求項5之方法,其中暴露該等間隔物於該氣體混合 物係在可有效轉化該等間隔物之約〇1 nm_2 nm厚度之凸 部的加工條件下進行。 如請求項4之方法,其中該氨氣及氟化氫氣體之氣流量 各自為約30 sccm_50 sccm ’該氣壓為約1〇 mT〇r卜% mTorr,該基板溫度為約25°C-45°C,且該時間段為約3〇 秒-120秒》 8.如請求項4之方法,其中該等間隔物包含選自由氧化 矽、四乙基正矽酸鹽(TE〇s)氧化物及富矽氮氧化矽組成 之群之材料。 9_如請求項4之方法,其中分解該六氟矽酸銨層包含熱分 解該六氟矽酸銨層。 10.如請求項4之方法,其中分解該六氟矽酸銨層包括暴露 該六氟矽酸銨層於水。 U· —種降低以一彼此間隔開且大致平行關係延伸之一硬質 罩幕之間隔物的邊緣之線寬粗糙度(LWR)之方法,該方 132950-1010816.doc 1380367 法包含: 進行化學氧化物移除以使沿該等邊緣之該等間隔物之 約0.1 nm-5 nm厚度的凸部轉化為一可分解化合物層,該 等間隔物包含選自由乳化石夕、四乙基正妙酸鹽(TEOS)氧 化物及富矽氮氧化矽組成之群之氧化物材料;及 移除該可分解化合物層以降低該等間隔物之該等邊緣 之該線寬粗糙度(LWR)。 12 13 14. 15. 如請求項11之方法’其中移除該可分解化合物層包括移 除該等間隔物之小於約2 nm之厚度。 一種降低以一彼此間隔開且大致平行關係延伸之一硬質 罩幕之間隔物的邊緣之線寬粗糙度(LWR)之方法,該方 法包含: 進行化學氧化物移除以使沿該等邊緣之該等間隔物之 約〇·1 nm-5 nm厚度的凸部轉化為一可分解化合物層,該 等間隔物包含選自由氧化矽、四乙基正矽酸鹽 化物及富矽氮氧化矽組成之群之氧化物材料; 移除該可分解化合物層以降低該等間隔物之該等邊緣 之該線寬粗糙度(LWR);及 使氧化物沈積於該等間隔物上以修改該等間隔物之形 狀。 如請求項13之方法’其中使氧化物沈積於該等間隔物上 包括藉由原子層沈積來沈積氧化物。 如清求項13之方法,其中使氧化物沈積於該等間隔物上 包括增加該等間隔物之寬度。 132950-10i08i6.doc 1380367 16· —種降低以一彼此間隔開且大致平行關係延伸之一硬質 罩幕之間隔物的線寬粗糙度(LWR)之方法,該方法包 含: 進行化學氧化物移除以使沿邊緣之該等間隔物的—部 分轉化為一可分解化合物層;及 處理該可分解化合物層以自該等間隔物之邊緣移除該 可分解化合物層; 其中該等間隔物之一邊緣輪廓之凸區·至-凹區[…尺振 幅經降低。 17. —種降低以一彼此間隔開且大致平行關係延伸之一硬質 罩幕之間隔物的一邊緣之線粗糙度之方法,該方法包 含: ι 暴露該等間隔物於氨氣與氟化氫氣體之氣體混合物, 以使沿該等間隔物之該邊緣之凸部轉化為_六氟石夕酸链 ((NHASA)層,該等間隔物具有沿該等間隔物之長度之 可變臨界尺寸;及 分解該六氟石夕酸錄層,其中該等間隔物之該邊緣之該 粗糙度經降低且該等間隔物 寸u加物之忒臨界尺寸沿該等間隔物 之長度大體上相同》 132950-1010816.doc
TW097126546A 2007-07-12 2008-07-11 Methods of modifying oxide spacers TWI380367B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/777,005 US8026180B2 (en) 2007-07-12 2007-07-12 Methods of modifying oxide spacers

Publications (2)

Publication Number Publication Date
TW200924059A TW200924059A (en) 2009-06-01
TWI380367B true TWI380367B (en) 2012-12-21

Family

ID=39768753

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097126546A TWI380367B (en) 2007-07-12 2008-07-11 Methods of modifying oxide spacers

Country Status (7)

Country Link
US (2) US8026180B2 (zh)
EP (1) EP2179439A1 (zh)
JP (1) JP2010533378A (zh)
KR (1) KR20100039886A (zh)
CN (1) CN101960560B (zh)
TW (1) TWI380367B (zh)
WO (1) WO2009009418A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
JP2011249403A (ja) * 2010-05-24 2011-12-08 Toshiba Corp 半導体装置及びその製造方法
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
KR101707295B1 (ko) * 2012-05-23 2017-02-15 도쿄엘렉트론가부시키가이샤 산화물 에칭 방법
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
US9449040B2 (en) 2012-11-26 2016-09-20 Amazon Technologies, Inc. Block restore ordering in a streaming restore system
US9406331B1 (en) * 2013-06-17 2016-08-02 Western Digital (Fremont), Llc Method for making ultra-narrow read sensor and read transducer device resulting therefrom
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
CN104064471A (zh) * 2014-05-21 2014-09-24 上海华力微电子有限公司 一种用于双重图形化工艺流程的侧墙形成方法
US9786503B2 (en) * 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
EP3101682A1 (en) * 2015-06-03 2016-12-07 IMEC vzw Method for providing a patterned target layer in a semiconductor structure
US10290553B2 (en) * 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
KR20180101598A (ko) * 2016-02-01 2018-09-12 도쿄엘렉트론가부시키가이샤 건식 에칭 공정의 사후 열처리의 공정 완료를 결정하는 시스템 및 방법
JP6692202B2 (ja) * 2016-04-08 2020-05-13 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN112216703A (zh) * 2020-10-10 2021-01-12 长江存储科技有限责任公司 半导体结构的制作方法和半导体结构
JP2022150973A (ja) * 2021-03-26 2022-10-07 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20230168652A (ko) * 2022-06-07 2023-12-15 삼성디스플레이 주식회사 표시 장치의 제조 장치 및 표시 장치의 제조 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US6821713B1 (en) 2002-02-27 2004-11-23 Advanced Micro Devices, Inc. Method for lateral trimming of spacers
US7135419B2 (en) 2002-09-16 2006-11-14 Intel Corporation Line edge roughness reduction
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
WO2004088729A1 (en) 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US7001675B2 (en) 2003-06-04 2006-02-21 Winsky Technology Ltd. Method of forming a nanocomposite coating
JP4171380B2 (ja) * 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
US7030008B2 (en) * 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
US6838347B1 (en) * 2003-09-23 2005-01-04 International Business Machines Corporation Method for reducing line edge roughness of oxide material using chemical oxide removal
US6949460B2 (en) 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7585614B2 (en) * 2004-09-20 2009-09-08 International Business Machines Corporation Sub-lithographic imaging techniques and processes
US7087532B2 (en) * 2004-09-30 2006-08-08 International Business Machines Corporation Formation of controlled sublithographic structures
JP2006173288A (ja) * 2004-12-15 2006-06-29 Renesas Technology Corp 半導体装置の製造方法
US7510972B2 (en) 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
US20060196527A1 (en) 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7402523B2 (en) 2005-03-31 2008-07-22 Tokyo Electron Limited Etching method
US20070010906A1 (en) 2005-07-11 2007-01-11 Tokyo Electron Limited Apparatus and system for monitoring a substrate processing, program for monitoring the processing and storage medium storing same
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7786016B2 (en) 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library

Also Published As

Publication number Publication date
WO2009009418A1 (en) 2009-01-15
US20120015520A1 (en) 2012-01-19
US8026180B2 (en) 2011-09-27
US20090017627A1 (en) 2009-01-15
EP2179439A1 (en) 2010-04-28
CN101960560A (zh) 2011-01-26
JP2010533378A (ja) 2010-10-21
TW200924059A (en) 2009-06-01
CN101960560B (zh) 2012-07-18
US8513135B2 (en) 2013-08-20
KR20100039886A (ko) 2010-04-16

Similar Documents

Publication Publication Date Title
TWI380367B (en) Methods of modifying oxide spacers
TWI759747B (zh) 形成氮碳氧化矽薄膜的方法
US10468251B2 (en) Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
TWI352387B (en) Etch methods to form anisotropic features for high
US8252194B2 (en) Methods of removing silicon oxide
US8703570B2 (en) Methods of fabricating substrates
US9269590B2 (en) Spacer formation
TWI525658B (zh) 設計製造用於微影蝕刻遮罩應用的富硼薄膜之方法
US10176980B2 (en) Selective deposition of silicon oxide films
US8101025B2 (en) Method for controlling corrosion of a substrate
TW200947560A (en) Methods for adjusting critical dimension uniformity in an etch process
CN116982139A (zh) 使用脉冲高频射频(hfrf)等离子体的间隙填充工艺
KR100780686B1 (ko) 반도체소자의 제조방법
KR20200117052A (ko) 디바이스 제작에서의 금속 하드마스크 형성 시스템들 및 방법들
JPH03204927A (ja) ドライエッチング方法