TWI374942B - - Google Patents

Download PDF

Info

Publication number
TWI374942B
TWI374942B TW097133700A TW97133700A TWI374942B TW I374942 B TWI374942 B TW I374942B TW 097133700 A TW097133700 A TW 097133700A TW 97133700 A TW97133700 A TW 97133700A TW I374942 B TWI374942 B TW I374942B
Authority
TW
Taiwan
Prior art keywords
film
chamber
reducing gas
manufacturing
semiconductor device
Prior art date
Application number
TW097133700A
Other languages
English (en)
Other versions
TW200912025A (en
Inventor
Hideaki Zama
Michio Ishikawa
Takumi Kadota
Chihiro Hasegawa
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of TW200912025A publication Critical patent/TW200912025A/zh
Application granted granted Critical
Publication of TWI374942B publication Critical patent/TWI374942B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1374942 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種半導體裝置之製造方法。 【先前技術】 於半導體裝置,隨著微細化及多層化的發展,因電流 雄度增加所致之電移(EM : electromigration )曰益嚴重化。 具有高EM耐性之銅配線之多層配線技術,於半導體裝置之 高積集化上不可或缺。 半導體裝置之多層配線技術,為了提升金屬配線之生 產性及可靠性,一般而言,係將具有各種功能之底層夾持 於絕緣層與金屬配線之間。該底層’例如已知有用以防止 金屬原子擴散之障壁層、用以使金屬配線與絕緣層密合之 密合層、用以促進配線材料之膜成長之晶種層(seed layer) 〇 當利用銘或鎢作為配線材料時’該底層之材料,係使 用鈕、鈦、氮化鈕、氮化鈦等之金屬材料。另一方面,於 鋼配線之多層配線技術,該等金屬材料並不適於作為底層 之材料。其係因絕緣層係使用低介電膜,故若於底層使用 該等金屬材料,則絕緣層所含之水分或氧將會擴散至底 層,而易使底層所含之金屬氧化。其結果,底層之電阻值 增加、在、合作用降低,而嚴重損及半導體裝置之可靠性。 因此’係提出適合於銅配線之多層配線技術之底層用 之各種材料。專利文獻1及專利文獻2,揭示一種作為銅配 5 1374942 線之底層之釕(Ru)膜或含Ru膜之多層膜。由於氧化釕具 有導電性,故藉由使用Ru膜,可抑制底層之高電阻化且 可抑制銅配線的氧化《又,藉由於該1111膜積層密合層可 更加提升Ru膜與銅配線之間的密合性。 專利文獻1 .日本特開2005 — 129745號公報。 專利文獻2 :日本特開2006 — 328526號公報》 【發明内容】 銅配線之製造方法’係利用所謂之金屬鑲嵌 (Damascene)法或雙鑲嵌(Dual_Damascene)法。亦即, 事先將配合配線形狀之溝槽形成於絕緣層,於溝槽之内表 面積層底層,之後,將銅材料埋入溝槽之内部以形成銅配 線。或者,事先將溝槽與通孔(Via_H〇le)兩者形成於絕緣 層,於溝槽與通孔之内表面積層底層,之後,將銅材料埋 入溝槽與通孔之内部以同時形成銅配線與導孔柱塞(Via Plug)。 然而’該底層(釕膜)’會因氧氣的導入而使釕原料 錯合物分解而成膜。相對於銅配線為下層之配線,會露出 於釕膜之成膜空間。因此,底層膜之成膜,會使配線氧化。 其結果,於上述銅配線之製造方法,會使包含銅配線之配 線構造之電阻值大幅增加,故必須於釕膜成膜後曝露於還 原氣體環境氣氛,以謀求銅配線之低電阻化。 本發明係提供一種半導體裝置之製造方法,該半導體 裝置係具有含有含釕之膜與含銅之膜的多層配線構造,其 6 1374942 鋼配線之電阻降低、可靠性提升β 本發明之一態樣,係一種具有鋼配線之半導體裝置之 製&方法,其具備:於形成有凹部之對象物上,藉由使用 有機釕錯合物之原料與第一還原十生氣體4 CVD法形成含釕 之膜的步驟;與於該含釕之膜之上’藉由使用有機銅錯合 物與第二還原性氣體之CVD法形成含銅之膜,而於該凹部 埋入該含銅之膜的步驟。 藉由該方法,由於不需要氧,可藉還原性氣體分解, 故可於不使含釕之膜氧化之下形成。結果可謀求銅配線之 低電阻化。又,由於不需要含釕之膜成膜後的還原步驟, 故可縮短製程時間》 較佳為,於形成有凹部之對象物上,藉由使用有機釕 錯合物與第一還原性氣體之CVD法,形成含釕之膜。於該 場合’由於不需要氧,可利用藉還原性氣體之分解,故可 於不使含釕之膜氧化之下形成。結果可謀求銅配線之低電 阻化。又,由於不需要含釕之膜成膜後的還原步驟,故可 縮短製程時間。 該形成含釕之膜之步驟’較佳為,該第一還原性氣體 分離後會釋放出氫自由基或氫離子。於該場合,由於不需 要氧’可利用藉還原性氣體之分解,故可於不使含釕之膜 氧化之下形成。結果可謀求銅配線之低電阻化。又,由於 不需要含釕之膜成膜後的還原步驟,故可縮短製程時間。 於該形成含釕之膜之步驟,該第一還原性氣體,可使 用選自氫(H2)、氨(NH3)、胼衍生物、矽烷(SiH4 )、 7 丄)/4942 一矽烷(ShH6)所構成群中之至少一種。 錯由選自氫、氨、胼衍生 中之至少一種的還原作用,由 故可於不使含釕之膜氧化之下 電阻化。又,由於不需要含釕 可縮短製程時間。 物、矽烷、二矽烷所構成群 於可分解該有機釕錯合物, 形成,而可謀求銅配線之低 之膜成膜後的還原步驟,故 於該形成含釕之膜之步驟,該胼衍生物,係將胼之氫
原子的-個或兩個以選自甲纟、乙基、直鏈或具支鍵之丁 基所構成群中之.基取代之取代胼。 由於使用簡單構成且容易合成或取得之胼衍生物,故 可提升本方法之廣用性。 於該形成含釕之獏之步驟,該還原性氣體之環境氣氛 較佳為調整為102Pa〜1 〇5Pa。藉由將還原性氣體之壓力調整 在上述範圍,可以高再現性得到還原性氣體之還原作用, 不僅可謀求銅配線之低電阻化,並且可使含銅之膜具有高 埋入特性。 於該形成含釕之膜之步驟,該對象物之溫度, 調整為150°C〜500°C。 藉由將對象物之溫度調整在上述範圍,可以高再現性 得到還原氣體之還原作用,不僅可謀求銅配線之低電阻 化,並且可使含銅之膜具有高埋入特性。又,藉由使對象 物之溫度在150°C以上,可促進含釕之膜之成長反應。又, 藉由使對象物之溫度在500°C以下,可避免有機成分之過剩 的分解反應或底層材料之熱損傷。 8 1374942 作為一例,該有機釕錯合物,係雙(2曱氧基_6曱基 -3,5-庚二酮酸(heptanedi0nat0))-丨,%己二烯釕錯合物。 作為一例,該有機銅錯合物,係雙(2,6二甲棊_2(三 曱基矽烧氧基)·3,5-庚二酮酸)銅錯合物。 於該將含銅之膜埋入之步驟,該對象物之溫度,較佳 為調整為150°C〜35(TC。 藉由將對象物之溫度調整在上述範圍,可以高再現性
得到第二還源性氣體之還原作用,不僅可謀求銅配線之低 電阻化,並且可使含銅之膜具有高埋入特性。又藉由使 對象物之溫度為150。。以上,可促進含銅之膜之成長反應。 又’藉由使對象物之溫度為35(rc以下,可避免有機成分之 過剩的分解反應或底層材料之熱損傷。 作為-例,該將含銅之膜埋人之步驟所使用之第二還 原性氣體’係含有氫原子之氣體。 該第二還原性氣體,检佳*急 权住马氫(H2)。若含釕之膜係 以表面積大之微粒子膜構成,則 X⑴了謀求含有含釕之膜與含 銅之膜之銅配線的低電阻化。 【實施方式】 以下’根據圖式說明本發明<一實施形態之半導體裝 置之製造方法。首先’說明實行本發明之一實施形態之製 造方法的半導體裝置之製造裝置。 圖卜係示意地顯示半導體褒置之製造裝置ι〇之俯視 圖。於圖!’製造裝置U)’具有:加載互鎖真空腔“-Μ 9 1374942 chamber) FL(以下僅稱為LL腔FL)、與腔FL氣密連 結之搬運腔FT、與各個搬運腔FT氣密且可隔離地連結之 Ru腔F1、命』處理腔F2、及Cu腔F3。 腔FL ’具有可減壓之内部空間(以下僅稱為收納室 FLa),將複敫之基板s搬入收納室FLa、並由收納室 搬出。LL腔FL,當基板S之成膜處理開始時,將收納室 FLa減壓而將基板s搬入搬運腔FT,又,當基板之成膜處 I 理結束時,將收納室FLa開放於大氣中,而將基板s搬出 至製造裝置10之外部。基板s,例如可使用矽基板或玻璃 基板等。基板S,係對象物之一例。 搬運腔FT,具有可減壓之内部空間(以下僅稱為搬運 至FTa),可與LL腔FL、Ru腔F1、前處理腔F2、及Cu 腔F3之局部或全部連通而形成共通之真空系統。搬運室 FTa,係裝載用以搬運基板s之搬運機械人RB。搬運機械 人RB’當基板s之成膜處理開始時’將ll腔FL之基板S φ 搬入搬運腔FT。搬運機械人RB,根據搬運路徑資訊,將基 板S搬運至ru腔F1 ’接著搬運至前處理腔F2,之後搬運 至Cu腔F3並搬出至LL腔FL。或者,搬運機械人rB,根 據搬運路徑資訊’將基板S搬運至Ru腔F1,之後搬運至前 • 處理腔F2並搬出至LL腔FL。 接著,以下說明Ru腔F1、前處理腔F2、及Cu腔F3。 圖2 ’係示意地顯示Ru腔F1之構成之側截面圖。又,前處 理腔F2及Cu腔F3 ’由於係改變Ru腔ρ 1所具有之原料供 給單元SU者,故對於處理腔F2及Cu腔F3,僅針對其改 10 1374942 變處說明。
Ru腔F1,係具有用以使用CVD法形成含有舒之含对 之膜(以下僅稱為ru膜)之成膜空間之腔。Cll腔F3,係 用以使用CVD法形成含有銅之含銅之膜(以下僅稱為 膜)之腔。前處理腔F2,係於RU膜上積層Cu膜之前,用 以對Ru膜施以既定前處理之腔,既定之前處理,包含例如 使Ru膜之電阻值降低之處理、或使Ru膜與cu膜之密合性 $ 提升之處理。 於圖2, RU腔F1,具有連結於搬運腔FT之具有上部 開口之筒狀的腔本體2卜與配設於腔本體21上部之可检塞 開關腔本體21之上部開口的腔蓋22。藉由以腔蓋22關閉 腔本體21之上部開口,可形成以腔本體21與腔蓋22圍繞 之空間(以下僅稱為成膜空間之處理空間Fa )。 處理空間Fa ’係收納由搬運腔FT所搬入之基板s。夷 板S ’係載置於處理空間Fa内之載台23。載台23,裝載有 _ 連接於加熱器電源H(}之加熱器H,當驅動該加熱器Η時, 可將載台23上之基板S升溫至既定溫度(例如,15〇t:〜 500°C ) 〇 處理空間Fa,係透過排氣口 P0與排氣單元pu連接, • 且與檢測處理空間Fa之壓力並將檢測結果輸出之壓力感測 器PG1連接。排氣單元PU,係由排氣閥、壓力調整闕 V2、原料閘τ、渦輪分子泵、及乾式真空泵等各種排氣裝 置P構成。根據壓力感測器PG1之檢測結果驅動壓力調整 閥V2,而將處理空間以之壓力調整至既定壓力(例如, 11 1374942 〜—。又’除原料閉τ以外之排氣單元四的各部, 係^至既定溫度(抑〜2赃),避免由處理空間& 排出之原料的液化’以維持排氣能力。 於處理空間Fa之上侧,配設有用以將氣體導入處理空 間Fa之蓮蓬頭24。蓮蓬頭24,係調整為既定溫度⑼。c 〜250。〇,以避免原料sc之液化,而使原料%順利地導 入處理空間Fa。蓮蓬頭24,具有複數之第—供給孔U、與
獨立於第―供給孔K1之複數之第二供給孔^複數之第 :供給孔K卜係與設置於腔蓋22上部之第—通σ(_)ρι A 1^連接又,複數之第二供給孔K2,係與設置於腔蓋22 P之第一通口 P2共通連接。第一通口 ρι與第一供給孔 K1之間的氣體路徑,係與第二通口 p2與第二供給孔&2之 ^的氣體路徑相互分離,藉此,可防止流經兩氣體路徑之 氣體於蓮蓬頭24内混合。 第一通口 pi ’係透過還原性氣體管路(gas Une)Lr,連 接於還原性氣體Gr用之質量流量控制器MFC丨、與載體氣 體(例如’氧(He )、氬(Ar)、氮(n2 )等)用之質量 机量控制器MFC2。當質量流量控制器mfC2導入既定量之 載體氣體時,蓮蓬頭24會通過各第一供給孔K1將載體氣 體句勻地供給至基板§之大致整面上。此時,若由質量流 1控制器MFC1供給既定量之還原性氣體Gr於第一通口 P1 ’則還原性氣體Gr會被搬運至載體氣體,而通過複數之 签 _ 牙—供給孔K1均勻地供給至基板§;之大致整面上。 還原性氣體Gr,可使用會分離而釋放出氫自由基或氫 12 1374942 離子者,又,可使用選自氫() '氨(NH3 )、胼衍生物、 石夕烧(SiH4)、二矽烷(Si#6)所構成群中之至少一種。 又,胼衍生物,可使用將胼之氫原子的一個或兩個以選自 甲基、乙基、直鏈或具支鏈之丁基所構成群中之基取代之 取代耕。又,當還原性氣體Gr之流量夠大而可穩定供給時, 可省略載體氣體,亦可省略質量流·量控制器MFC2。 第二通口 P2,係透過原料氣體管路Ls,與構成供給部
之原料供給單元SU連接。原料供給單元su,具有:貯留
Ru膜之原料sc之原料桶25、連接於原料桶25之液體質量 流量控制器LMFC、連接於液體質量流量控制器LMFC之氣 化裝置ιυ。原料供給單元su及原料氣體管路Ls,係調整 為既定溫度(贼〜25〇。〇,以避免⑽%的液化而使 原料SC的供給順利。 原料SC,可使用雙(2_甲氧基+甲基_3,5_庚二嗣酸) -1,5-己二軸錯合物m sc,可❹將上述釘錯合 物溶解於各種溶劑(例如,己烷、辛&、甲苯、環己烷、 甲基環己烷、乙基環己烷、四氫呋喃等)之溶液。
• · ·⑴ 原料桶25,係由導出氣體(例如,He、A^ 壓,而以既定壓力將貯留之原料s 制器―c,係將由原料桶25導出=液體質量流量控 出之原料SC調整為既定 13 1374942 之供給量以導入氣化裝置m。氣化裝置m,係連接於載體 氣體(例如,He、Ar、N2等)用之質量流量控制器MFC3。氣 化裝置IU ’係使來自液體質量流量控制器LMFC之原料sc 氣化,將原料sc與載體氣體一同導出至第二通口 P2。經氣 化之原料sc與载體氣體,透過複數之第二供給孔K2,均 勻地供給至基板S之大致整面上。
藉此,Ru腔F1,可將還原性氣體Gr與原料sc各自通 過相互獨立之氣體路徑供給至處理空間Fa,可使還原性氣 體士與原料SC僅於處理空間Fa混合。其結果,即使當原 料SC與還原性氣體Gr具有高反應性時,亦可使原料sc之 反應僅於處理空間Fa進行》 於原料氣體管路Ls之途中,連接有與原料閘τ相通之 分路管路Lb。原料供給單元SU,當將原料sc供給至處理 空間Fa時,至原料sc之供給量穩定為止關閉供給閥”, 並且’打開切換閥V4,使原料sc與載體氣體通過分路管 路Lb導出至原料閘τ。原料供給單元阳,當原之供 給量穩定時’關閉切換閥V4,並且,打開供給閥V3,將原 料SC與載體氣體供給至處理空間〜藉此,可提高對處理 空間Fa之原料SC之供給、停止之對應性。供給開始時之 原料SC之量的提升及供給停止時之原料^之 會變得急遽。 旳降低將 係通過還原性氣體管路 間Fa,並透過排氣單元
Ru腔F1,當搬入基板s時, Lr將還原性氣體Gr供給至處理空 PU,將處理空間Fa之壓力調整為 14 1374942 腔F1 θ,係形成i〇2Pa以上1〇5pa以下之還原性氣體Gr 之環境氣氛。l()2Pa以上之還原性氣體Gr之環境氣氛於 可充分發揮還原性氣體Gr之還原作用上,係較佳。1〇5Pa 以下之還原性氣體Gr之環境氣氛,於避免對排氣單元pu 造成過剩負荷上,係較佳。 搬入Ru腔F1之基板s,係載置於載台23’加熱器η 係加熱基板S以升溫至既定溫度。基板s之溫度,例如, • 係調整為15(rc以上50(rc以下。15(rc以上之溫度,於使 原料SC之熱分解反應開始上,係較佳。500〇c以下之溫度, 於避免下層配線等之下層導電體之熱損傷上,係較佳。
Ru腔F1’當形成還原性氣體Gr之環境氣氛並將基板s 升溫至既定溫度時,通過原料氣體管路Ls,將既定量之原 料sc供給至處理空間Fa,於還原性氣體&之環境氣氛下 使原料sc熱分解,以於基板s上堆積Ru膜。 藉此,Ru腔F1,藉由將下層導電體之氧化物與尺口膜 # =氧化物,分別以還原性氣體Gr還原,可使其接近金屬狀 態。而Ru腔F1,於下層導電體與如膜之間,可抑制氧化 獏的介入,而可於低接觸電阻下,連接下層導電體與尺11膜 之間。 、 、 前處理腔F2,係具有與Ru腔F1大致相同構成之腔, 而於不具有上述原料供給單元su之點上,與Ru腔不 :,又,於質量流量控制器MFC1係供給前處理所使用之 =處理氣體Gp之點上亦不同。前處理氣體Gp,例如,當 前處理腔F2於惰性氣體之環境氣氛下實行熱處理時,可使 15 2惰性氣體(例如,He、Ar、N2等)。又,前處理氣體Gp, 河如,當於還原性氣體之環境氣氛下實行熱處理時, 用還原性氣體。 使 前處理腔F2,當搬入基板s時,係通過還原性氣體管 路Lr將前處理氣體Gp供給至處理空間Fa,藉由 p^j 札半 &弋驅動,將處理空間Fa之壓力調整為既定》壓力。例如, :處理腔F2,藉由1〇2pa以上之還原性氣體&之環境氣 巩,確實地使Ru膜之氧化物還原,並且,藉由1〇5Pa以^ 還原%境氣氛,避免對排氣單元pu造成過剩的負荷。 2則處理腔F2,當搬入基板S時,將基板s載置於载台 透過加熱器Η,將基板s調整至既定溫度。例如,前處 理腔F2’使基板s升溫至15〇它以上,以有效地使Ru膜所 殘存之有機成分加以排出。又,前處理腔F2,將基板呂調 整為5〇〇 c以下’以避免下層導電體之熱損傷。 藉此,刖處理腔F2,對於堆積於基板s之Ru膜,可實 施用以謀求其低電阻化之既定的前處理。
Cu腔F3,係具有與上述Ru腔F丨大致相同構成之腔, 於上述原料sc為有機銅錯合物之點上,與該腔F1不 同,其他皆與該Ru腔F1為相同構成。 該有機銅錯合物,可使用雙(26二甲基_2(三甲基矽 烷氧基)_3,5_庚二酮酸)銅錯合物。又,原料sc,可使用 將銅錯合物溶解於各種溶劑(例#,己烧、辛院、甲苯、 、元甲基環己烧、乙基環己烷、四氫吱味等)之溶液。 1374942
.··⑵
Cu腔F3,當搬入基板s時,係通過還原性氣體管路 U將還原性氣體Gr供給至處理空間Fa,透過排氣單元PU, 將處理空間Fa之壓力調整為既定壓力。例如,Cu腔F3, 1 0 2 ΐ> 以上之還原性氣體Gr之環境氣氛,充分發揮還 原丨生氣體Gr之還原作用,並且,藉由105Pa以下之還原性 、 之環逯氣氛’可避免對排氣單元PU造成過剩負荷》 °^腔卩3,當搬入基板S時,將基板s載置於載台23, 透過加熱器H,將基板S升溫至既定溫度。例如,Cu腔F3, 藉由加熱至150。〇以上,使原料SC之熱分解反應開始,並 藉由調整溫度為350C以下,可避免下層配線、Ru膜、 及Cu獏之熱損傷。
Cu腔F3,當形成還原性氣體Gr之環境氣氛並將基 板S升溫至既定溫度時,通過原料氣體管路ls,將既定量 之原料SC供給至處理空間Fa,於還原性氣體^之環境氣 氛下使原料sc熱分解,以於Ru膜上堆積Cu膜。 藉此,Cu腔F3,藉由將Ru膜之氧化物與&膜之氧化 物,分別以還原性氣體Gr還原,可使其接近金屬狀態。而 Cu腔F3lRu膜與Cu膜之間,可抑制氧化膜的介入,而 可於低接觸電阻下,連接Ru膜與Cu媒之間。X,如上述 17 1374942 之當RU膜以微粒子膜構成時,與以平滑面構成Ru膜之表 面的情形相比’可大幅增加Ru膜之表面積,故可大幅縮短
Cu膜之培月時間,並可謀求Ru膜與cu膜間之接觸電阻的 降低’且可謀求Cu膜之成膜所需要之成膜時間的縮短化。 接著,於以下說明上述半導體裝置之製造裝置1〇之電 氣構成。圖3,係顯示製造裝置1〇之電氣構成之電區間電 路圖。 於圖3,控制裝置30,係控制半導體裝置之製造裝置 10之各種處理動作,例如基板s之搬運處理、Ru膜之成膜 處理、前處理、Cu膜之成膜處理等。控制裝置3〇,具有: 用以接收各種訊號之輸入I/F3〇A、用以實行各種演算處理 之演算部30B、用以容納各種資料及各種程式之記憶部 30C、與用以輸出各種訊號之輸出i/f3〇d。 輸入部31A、LL腔檢測部32A、搬運腔檢測部33A、 Ru腔檢測部34A、前處理腔檢測部35A、及Cu腔檢測部 36A ’係透過輸入I/F30A,連接於控制裝置3〇 » 輸入部3 1A ’具有啟動開關及停止開關等各種操作開 關’將製造裝置10會利用之各種處理動作資料供給至控制 裝置30。例如’輸入部31A,係將基板s之搬運處理資訊、 Ru膜之成膜處理資訊、前處理資訊、Cu膜之成膜處理資訊 供給至控制裝置30。 亦即’輸入部31A’係將基板S之搬運路徑(各種處理 之處理順序)資訊供給至控制裝置30。又,輸入部31A, 係將用以實行RU膜之成膜處理之成膜條件(例如,基板溫 18 度 '還原性氣體Cr之流量 成膜時間等)供給至控制褒置、二之供給量、成膜屋力、 用以實行前處理之前處理停件;輸人部31A,係將 氣體Gp t Θ ^ '、牛(例如,基板溫度、前處理 礼菔up之流量、處理壓 3〇。又,耠a # 處理時間等)供給至控制裝置 ^ 用以實行cu膜之成膜處理之成 膜條件(例如,基板溫度、 之仳終旦^ 原拴軋體Gr之流量、原料sc 之供、,口里、成膜壓力、成膜 、時間等)供給至控制裝置30。
控制裝置30,將由輸入卹 資U 0 /认 邛31A所輸入之各種處理動作 貧科儲存於記憶部3〇c,於因 控制處理動作。 、因應各種處理動作資料的條件下 LL腔檢測部32A,係檢測lLdL之狀態,例如收納 至^之實際壓力、基板8之片數等,並將該檢測結果供 給至控制裝置30。搬運腔檢測冑33A,係檢測搬運腔打之 狀態,例如搬運機械人仙之機械臂位置等,並將該檢測結 果供給至控制裝置30。
“腔檢測部34A,係檢測以腔F1之狀態,例如所對 應之基板s之實際溫度、處理空間Fa之實際壓力' 還原性 氣體Gr之實際流量、原料sc之實際供給量、實際處理時 間等’並將該檢測結果供給至控制袭置3 〇。 前處理腔檢測部35 A,係檢測前處理腔F2之狀態,例 如所對應之基板s之實際溫度、處理空間Fa之實際壓力、 前處理氣體Gp之實際流量、實際處理時間等,並將該檢測 訊號供給至控制裝置30。
Cu腔檢測部36A,係檢測Cu腔F3之狀態,例如所對 19 1374942 應之基板s之實際溫度、處理空間Fa之實際壓力、還原性 氣體Gr之實際流量、原料SC之實際供給量、冑際處理時 間等,並將該檢測結果供給至控制裝置3 〇。 輸出部31B、LL腔驅動部32B、搬運腔驅動部33b、 Ru腔驅動部34B、前處理腔驅動部35B、及Cu腔驅動部 36B,係透過輸出i/F3〇d,連接於控制裝置3〇。 輸出部31B,具有液晶顯示器等各種顯示裝置,將製造 裝置10之處理狀況資料輸出。 控制裝置30,利用來自LL腔檢測部32A之檢測結果, 將對應於LL腔驅動部32B之驅動控制訊號供給至LL腔驅 動部32B。LL腔驅動部32B,因應來自控制裝置3〇之驅動 控制訊號,可將收納室FLa減壓或開放於大氣,以將基板8 搬入或搬出。 控制裝置30,利用來自搬運腔檢測部33A之檢測結 果’將對應於搬運腔檢測部3 3 A之驅動控制訊號供給至搬 運腔驅動部33B。搬運腔驅動部mb,因應來自控制裝置 30之驅動控制訊號,根據處理順序資訊,將基板s依序搬 運至LL腔FL、搬運腔ft、Ru腔F1、前處理腔F2、Cu腔 F 3 〇 控制裝置30 ’利用來自RU腔檢測部34A之檢測結果, 將對應於Ru腔驅動部34B之驅動控制訊號供給至Ru腔驅 動部34B。Ru腔驅動部34B,因應來自控制裝置30之驅動 控制訊號,以來自輸入部31A之成膜條件實行Ru膜之成膜 處理。 20 1374942 控制裝置30 ’利用來自前處理腔檢測部35A之檢測結 果,將對應於前處理腔驅動部35B之驅動控制訊號供給至 刖處理腔驅動部35B。前處理腔驅動部35B,因應來自控制 裝置30之驅動控制訊號,以來自輸入部31A之成膜條件實 行既定之前處理。 控制裝置30,利用來自Cu腔檢測部3 6A之檢測結果, 將對應於Cu腔驅動部36B之驅動控制訊號供給至Cu腔驅 動部36B。Cu腔驅動部36B,因應來自控制裝置3〇之驅動 控制訊號,以來自輸入部31A之Cu膜之成膜條件實行Cu 膜之成膜處理。 •接著’於以下說明半導體裝置之製造方法。圖4,係顯 示半導體裝置之製造方法之流程圖。 首先,控制裝置30’因應用以形成銅配線之操作訊號’ 由§己憶部30C讀取銅配線形成處理之程式。接著,控制裝 置3〇,將基板S投入LL腔FL,接受來自輸入部31A之各 種責料(步驟S11 )。而控制裝置30,檢測LL腔FL之狀 ’vo·、…搬運腔FT之狀態,根據由輸入部3 1A所輸入之處理順 序資訊’開始基板S之搬運處理。 亦即’控制裝置30’將LL腔FL之基板S搬運至Ru 腔F1’根據來自輸入部31A之RU膜之成膜條件,於腔 F1之處理空間Fa形成還原性氣體Gr之環境氣氛。又,控 制裝置30’驅動Ru腔F1之加熱器η使基板s升溫,之後, 供給所對應之原料SC,以實行Ru膜之成膜處理(步驟 S12)。而控制裝置30,檢測“腔F1之狀態,判斷以膜 21 1374942 之成膜處理是否已結束,甚 u膜之成膜處理結束,則蔣
Ru腔F1之基板S搬運至前者_ J ^ 文王則處理腔F2 » 控制裝置30,右將基板8搬運至前處理腔^ 來自輸入部ΜΑ之前處理條件,於前處理腔F2之處
Fa形成前處理氣體Gp之瑗典γ γ J P 境軋氛。又,控制裝置3〇, 動前處理腔F2之加熱器^你其把 使基板S升 >凰,以實行對應於
Ru膜與Cu膜之前處理赖 、 k步騾S13)。而控制裝置3〇,
測則處理腔F2之狀態,判齡&本B A斷則處理是否已結束,若前處理 結束,則將基板S搬運至Cu腔F3。 控制裝置30,若將基柘,溶s ^ 肝巷板S搬運至Cu腔F3,則根據來 自輸入部31A之Cu膜之成膜條件,於Cu腔F3之處理空 間Fa形成還原性氣體Gr之環境氣氛。又,控制裝置扣, 驅動以腔F3之加熱器η使基板s升溫,之後,供給所對 應之原料sc,以實行Cu膜之成膜處理(步驟si4)。而控 ,裝置30,檢測仏腔F3之狀態,判斷Cu膜之成膜處: 是否已結束,若Cu膜之成膜處理結束,則將Cu腔F3之基 板S搬運至LL腔FL。 之後,同樣地’控制裝置3 〇 ’對於全部之基板s,依 序實行Ru膜之成膜處理、前處理、Cu膜之成膜處理,以 形成CU配線。而控制裝置3〇,檢測lL腔fl之狀態,若 於全部之基板S皆形成銅配線,則將LL腔FL開放於大氣, 以將全部之基板S由LL腔FL搬出至外部。 (實施例) 接著’於以下說明使用上述半導體裝置之製造裝置1〇 22 1374942 所形成之銅配線之埋入性。圖4與圖5,分別為顯示使用上 述半導體裝置之製造裝置10所形成之Ru膜之埋入性、與 Ru臈上所形成之Cu膜之埋入性的TEM(Transmissi〇n
Electron Microscope)截面影像。 首先,使用矽基板作為基板S’於基板S上形成膜厚為 48〇nm之絕緣層41,於絕緣層41形成孔徑為8〇nm、高寬 比(孔深度/孔徑)為5.8之孔VH (凹部)。接著,於以 φ 下所示之Ru膜之成膜條件下,將Ru膜42形成於絕緣層 4 1之表面’並攝影TEM截面影像。又,於以下所示之前處 理條件下,對Ru膜42施以前處理,接著,於以下所示之 Cu膜之成膜條件下’於RlI膜42表面形成cu膜43,並攝 影TEM截面影像。 (Ru膜之成膜條件) •原料SC :以正辛烷為溶劑之〇 5m〇1/L之雙(2甲氧 基-6-曱基-3,5-庚二酮酸)-15-己二烯釕錯合物 % •原料SC之供給量:〇.lg/min •還原性氣體Gr :氫 •還原性氣體Gr之流量:3L/min •基板溫度:450°C •成膜壓力:750Pa •成膜時間:720秒 (前處理條件) •基板溫度:350°C •製程氣體:氫 23 U/4942 •處理壓力:350〇pa (Cu膜之成膜條件) 原料SC ’以正辛烧為溶劑之0.5m〇i/L之雙(2 6 曱基-2-(二甲基矽烷氧基)_3,5_庚二酮酸)銅錯合物 •原料sc之供給量:〇.2g/min •還原性氣體Gr :氫
•還原性氣體Gr之流量:3L/min •基板溫度:270°C •成膜壓力:250〇pa •成膜時間:720秒 如圖5之深色區域所顯示’可知Ru膜42係以約2〇nm 膜厚均勻地形成於絕緣層41之上面及孔VH内壁之整 。如此,可知藉由上述1111膜之成膜處理,亦即,藉由將 之 體 。亥有機釕錯合物於還原環境氣氛下熱分解之成膜處理,即 使底層為絕緣層41時,亦可得到具有高膜厚均一性與高段 差被覆性之Ru膜42。 如圖6之深色區域所顯示,可知cu膜43之Cu材料, 均勻地填充於孔VH之内部整體。如此,藉由上述Ru膜之 成膜處理、前處理及Cu膜之成膜處理,可形成具有高埋入 !·生之Cu配線。而藉由連續進行之還原環境氣氛下之處理, 可刀別使底層配線之氧化物、Ru膜之氧化物、Cu膜之氧化 物還原’而謀求銅配線之低電阻化。 (半導體裝置) 接著,說明使用上述方法所製造之半導體裝置。圖7, 24 1374942 係顯示半導體裝置之主要部分截面圖。 於圖7,半導體裝置50,例如,係含各種RAM或各種 ROM之記憶體元件、或含Mpu或泛用邏輯之邏輯元件等。 於半導體裝置50之基板S,形成有薄膜電晶體Tr,銅配線 51係透過接點插塞(contact plug ) Pc,連接於薄膜電晶 體Tr之擴散層LD。 銅配線51 ’具有連接於接點插塞Pc的底層52、填充 被覆有底層52之孔内部的配線層53。底層52,為具有Ru 膜之層,係藉由使用上述1111腔1?1之該1^1膜之成膜處理、 與使用上述前處理腔F2之該前處理所形成。配線層53,係 藉由使用上述Cu腔F3之該Cu膜之成膜處理所形成。因 此’配線層53 ’可謀求接點插塞Pc與底層52間之接觸電 阻 '底層52與配線層53間之接觸電阻的低電阻化,而可 提升其可靠性。 藉由上述實施形態,可達成以下之效果。 (1)於上述實施形態,藉由使用含該有機釕錯合物之原 料SC、與還原性氣體(亦稱為第一還原性氣體)Gr之CVD 法’於形成有凹部之基板S上形成Ru膜(步驟S12)。而 藉由使用含該有機銅錯合物之原料SC、與還原性氣體Gr 之CVD法,於該ru膜上形成Cu膜,而於凹部埋入Cu膜。 以此方式,於凹部形成含Ru膜與Cu膜之銅配線(步驟s 14 )。 因此’可分別於還原性氣體之環境氣氛下形成膜與 Cu膜。其結果,於膜之形成時與Cu膜之形成時,底層 配線之氧化物與銅配線之氧化物係被還原而接近金屬狀 25 1374942 態。因此,可謀求銅配線之低電阻化。 (2) 於上述實施形態之Ru膜成膜步驟(步驟S12)與 Cu膜成膜步驟(步驟S14),還原性氣體Gr,係使用可解 離而釋放出氫自由基或氫離子者。或者,使用選自氫(h2)、 氨(NH3 )、胼衍生物、矽烷(SiH4)、二矽烷(si2H6)所 構成群中之至少一種,來作為還原性氣體Gre因此,可使 上述半導體裝置之製造方法具有更高之廣用性。 (3) 於上述實施形態之Ru媒成膜步驟(步驟S12),係 將還原性氣體Gr之壓力’調整為i〇2Pa〜1〇5Pa,將基板8 之溫度,調整為150°C〜500°C »因此,可以高再現性得到 Rii膜之成長速度及還原性氣體Gr之還原作用,且可避免底 層材料之熱損傷。並且,可以高再現性形成具有所欲電特 性之銅配線。 (4) 於上述實施形態,將Ru膜成膜步驟後之基板s裁置 於前處理氣體GP之環境氣氛,對形成Cu膜之前的Ru膜, 實行前處理。因此,可降低Ru膜之電阻值,或可提升Ru 膜與CU膜之密合性。其結果,可更加提升銅配線之可靠性。 又,上述實施形態,亦可變更為以下之態樣。 •於上述實施形態,係於RU臈成膜步驟(步驟si2) 之後,實行前處理步驟(步驟Sl3)。不限於此,例如,亦 可為於Ru膜成膜步驟後,接著實行^膜形成步
Sl”之構成。 、’驟 •於上述實施形態’㈣前處理步驟,實行熱處理、 或還原處理。不限於此,例如,亦可為於前處理步驟,首 26 1374942 先’實行用以除去Ru膜之有機成分之氧化處理後,實行用 以將該Ru膜之氧化物還原製成金屬Ru之還原處理之構 成❶或者,亦可為於前處理步驟,於Ru膜上形成密合層, 以提升Ru膜與Cu膜之密合性之構成。亦即,前處理=驟, 只要為於形成Cu膜之前,用以使Ru臈之電阻值降低、或 用以使Ru膜與Cu膜之密合性提升之處理即可。
•於上述實施形態,半導體裝置之製造裝置1〇,係裝 載有對Ru膜施以前處理之前處理腔F2,具有前處理腔檢測 部35A與前處理腔驅動部35B。不限於此,例如,半導體 裝^之製造裝置10,亦可為沒有裝載前處理腔以之構成, 且可為不具有前處理腔檢測部35A與前處理腔驅動部 之構成。例如,半導體裝置之製造裝i 10,可為使用Ru 腔F1實行熱處理、還原處理等既定之前處理之構成。 •於上述實施形態’半導體裝置之製造裝10,係』 載有對Ru膜施以前處理之前處理腔F2,具有前處理腔檢习 部35A與前處理腔驅動部35B。又,裝載有進行Cu成膜:
3八有Cu腔檢測部36A與Cu腔驅動部36B » : 限於此’製造裝置1G’亦可為沒有裝載前處理腔F2、C 腔F 3之構成。例如,可使用 來進行熱處理或還原處理等 理之全部處理。
Ru腔F1 ’藉由進行氣體切換, 既定之前處理、Cu膜之成膜處 •於上述實施形態 獨立之氣體路徑將原料 間Fa。不限於此,例如 腔F1及Cu腔F3,係分別通過 SC與還原性氣體Gr導入至處理空 ’當原料SC與還原性氣體Gr的反 27 1374942 應性低時,可為使第一通口 P1及第二通口 P2共通之一個 通口的構成。又’亦可為將第一供給孔Kl與第二供給孔 K2相互連通之供給孔的構成再者亦可使用還原性氣體
Gr作為質量流量控制器MFC3之載體氣體。亦即,原料% 與退原性氣體Gr之供給路徑,只要為可使原料s於還原性 氧體Gr之環境氣氛下產生熱分解之構成即可,可進行各種 變更。 •於上述實施形態,原料供給單元su,係藉由導出氣 體之加壓將原料桶25之原料SC導出,藉由氣化裝置出之 氣化將原料SC之氣體供給至處理空間Fae不限於此,例 如,原料供給單元su,可為於原料桶25之原料SC内導入 載體氣體,將混合載體氣體與原料SC之氣體供給至處理空 間Fa之使用所謂發泡法(bubbling method)之構成。亦即, 原料sc之供給方法,只要為可使原料sc於還原環境氣氛 之處理空間Fa下產生熱分解之構成即可,可進行各種變更。 【圖式簡單說明】 圖1,係示意地顯示本發明之半導體裝置之製造裝置之 俯視圖。 圖2’係顯示圖1之Ru腔之構成之概略截面圖。 圖3’係圖1之製造裝置之區間電路圖。 圖4,係以圖1之製造裝置所實施之銅配線形成步驟之 流程圖。 圖5 ’係實施例所形成之Ru膜之TEM截面圖。 28 1374942 圖6,係實施例所形成之Cu膜之TEM截面圖。 圖7,係本發明之半導體裝置之製造方法所製造之半導 體裝置之截面圖。
【主要元件符號說明】 10 製造裝置 F1 Ru腔 F2 前處理腔 F3 Cu腔 Fa 處理空間 FL 加載互鎖真空腔 FLa 收納室 FT 搬運腔 FTa 搬運室 S 基板 21 腔本體 22 腔蓋 23 載台 24 蓮蓬頭 25 原料桶 H 加熱器 HG 加熱器電源 IU 氣化裝置 K1 第一供給孔 29 1374942
Κ2 第二供給孔 Lb 分路管路 Lr 還原性氣體管路 Ls 原料氣體管路 LMFC 液體質量流量控制器 MFC 質量流量控制器 P 排器裝置 P0 排氣口 P0 PI 第一通口 P2 第二通口 PG1 壓力感測器 PU 排氣單元 SC 原料 su 原料供給單元 T 原料閘 VI 排氣閥 V2 壓力調整閥 V3 供給閥 V4 切換閥 41 絕緣層 42 Ru膜 43 Cu膜 VH 子L 50 半導體裝置 30 1374942 51 銅配線 52 底層 53 配線層 LD 擴散層 Pc 接點插塞 Tr 薄膜電晶體 31

Claims (1)

  1. ij/4942 十、申請專利範圍: 1-種半導體裝置之製造方法,係用以製造具有鋼配線 半導體裝置’其特徵在於’具備下列步驟: ι於形成有凹部之對象物上,藉由使用以通式(1)(式中, R表不碳原子之直鏈或支鏈狀之院基)所示之有機 幻錯合物與第一還原性氣體之CVD法,來形成含釕之膜的 步驟;及
    於該含釘之膜上’藉由使用以通式(2)(式中’ R2表示 碳原子數1〜4之直鏈或支鏈狀之烷基)所示之有機鋼錯合 物與第二還原性氣體之CVD法,來形成含銅之膜,而於該 凹部埋入該含銅之膜的步驟
    /\ 2.—種半導體裝置之製造方法,其特徵在於: 於形成有凹部之對象物上,藉由使用以通式(1)(式中, 32 1374942 R1表示碳原子數1〜4之直鍵或支鍵狀之烧基)所示之有機 釘錯合物與第一還原性氣體之CVD法’來形成具有凹部之 含釕之膜
    3. 如申請專利範圍第1或2項之半導體裝置之製造方 法’其中,於該形成含釕之膜的步驟,該第一還原性氣體, 係會解離而釋放出氫自由基或氫離子。 4. 如申請專利範圍第1或2項之半導體裝置之製造方 法’其中’該形成含釕之膜的步驟中所使用之該第一還原 性氣體,係選自氫(H2 )、氨(NH3 )、胼衍生物、矽烷(SiH4 )、 二石夕烷(Si2H6 )所構成群中之至少一種。 5. 如申請專利範圍第4項之半導體裝置之製造方法,其 令’該形成含釕之膜的步驟中所使用之該胼衍生物,係將 骄之氫原子的一個或兩個以選自甲基、乙基、直鏈或具支 鍵之丁基所構成群中之基取代之取代胼。 6. 如申請專利範圍第1或2項之半導體裝置之製造方 法’其中’於該形成含釕之膜的步驟,該第一還原性氣體 之環境氣氛係調節為102Pa〜1〇5Pa。 7·如申請專利範圍第1或2項之半導體裝置之製造方 33 1374942 法’其中’於該形成含釕之膜的步騍 調節為150。(:〜5〇〇。〇^ ~對象物之溫度係 8.如申請專利範圍第丨或2 法,盆中之丰導體裝置之製造方 ^再甲該有機釕錯合物,係雙 ^ ^(heptanedionato)) -1 5-p,-氧基 _6_ 甲基·3,5- ’ 〜歸舒錯合物。 9·如申明專利範圍第1或2項之
    法,其中,該有機銅錯合物,係雙(26 _體裝置之製造方 矽烷氧基)-3,5-庚二酮酸)銅錯合物 甲基_2-(二甲基 10.如申請專利範圍第1或2項之 法,其中,於該埋入含銅之膜的步體裝置之製造方 調節為150°C〜350。(:。 該對象物之溫度係 11. 如申請專利範圍第丨或2項之半 法,其中,該埋入含鋼之膜的步驟 體裝置之製造方 性氣體,係含有氫原子之氣體。 < 該第二還原 12. 如申請專利範圍第丨或2 A ~^千導 法,其中,該埋入含銅之膜的步 瑕置之製造方 T 使用 性氣體,係氫(h2 )。 <該第二還原 13. 如申請專利範圍第丨或2 Μ〜千導> @ & 法’其中’該含釕之膜係微粒子膜。 、置之製造方 十一、圖式: 如次頁。 34
TW097133700A 2007-09-03 2008-09-03 Method for manufacturing semiconductor device TW200912025A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007228059 2007-09-03

Publications (2)

Publication Number Publication Date
TW200912025A TW200912025A (en) 2009-03-16
TWI374942B true TWI374942B (zh) 2012-10-21

Family

ID=40428896

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097133700A TW200912025A (en) 2007-09-03 2008-09-03 Method for manufacturing semiconductor device

Country Status (5)

Country Link
US (1) US8183153B2 (zh)
JP (1) JP5410054B2 (zh)
KR (1) KR101215984B1 (zh)
TW (1) TW200912025A (zh)
WO (1) WO2009031582A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010059471A (ja) * 2008-09-03 2010-03-18 Ube Ind Ltd ルテニウム微粒子及びその製造法、並びにルテニウム微粒子を下層金属膜とした金属含有薄膜の製造方法
JP5983162B2 (ja) * 2012-01-25 2016-08-31 東京エレクトロン株式会社 半導体装置の製造方法
JP6807251B2 (ja) * 2017-03-02 2021-01-06 東京エレクトロン株式会社 ルテニウム配線の製造方法
JP7224199B2 (ja) 2019-02-12 2023-02-17 Juki株式会社 ミシンの制御方法及びミシンの制御装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3409831B2 (ja) * 1997-02-14 2003-05-26 日本電信電話株式会社 半導体装置の配線構造の製造方法
JP4564113B2 (ja) * 1998-11-30 2010-10-20 株式会社東芝 微粒子膜形成方法
US6184403B1 (en) * 1999-05-19 2001-02-06 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
US6099903A (en) * 1999-05-19 2000-08-08 Research Foundation Of State University Of New York MOCVD processes using precursors based on organometalloid ligands
US6484403B1 (en) * 2000-09-28 2002-11-26 Magnus/Farley, Inc. Method of converting a traction motor with sleeve bearings to roller bearings
US6340768B1 (en) * 2000-12-04 2002-01-22 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
JP4097979B2 (ja) * 2002-04-18 2008-06-11 田中貴金属工業株式会社 Cvd用原料化合物及びルテニウム又はルテニウム化合物薄膜の化学気相蒸着方法
JP2005012138A (ja) * 2003-06-23 2005-01-13 Mitsui Chemicals Inc 高純度金属拡散バリヤ膜
JP2005129745A (ja) 2003-10-24 2005-05-19 Sony Corp 半導体装置
TW200535138A (en) * 2004-03-15 2005-11-01 Ube Industries Metal complex comprising β-diketonato as ligand
JP4654194B2 (ja) 2004-09-27 2011-03-16 株式会社アルバック 銅含有膜形成方法
US7816550B2 (en) * 2005-02-10 2010-10-19 Praxair Technology, Inc. Processes for the production of organometallic compounds
JP2006328526A (ja) 2005-04-27 2006-12-07 Jsr Corp 金属膜の形成方法
JP2007051124A (ja) * 2005-07-22 2007-03-01 Ube Ind Ltd 新規な銅錯体及び当該銅錯体を用いた銅含有薄膜の製造方法
US8304567B2 (en) * 2006-07-27 2012-11-06 Ube Industries, Ltd Organoruthenium complex, and method for production of ruthenium thin film using the ruthenium complex
JP5234718B2 (ja) * 2007-03-26 2013-07-10 株式会社アルバック 半導体装置の製造方法

Also Published As

Publication number Publication date
US20100317189A1 (en) 2010-12-16
TW200912025A (en) 2009-03-16
JP2009081432A (ja) 2009-04-16
WO2009031582A1 (ja) 2009-03-12
KR101215984B1 (ko) 2012-12-28
KR20100053670A (ko) 2010-05-20
US8183153B2 (en) 2012-05-22
JP5410054B2 (ja) 2014-02-05

Similar Documents

Publication Publication Date Title
US10910263B2 (en) Doping control of metal nitride films
US9048294B2 (en) Methods for depositing manganese and manganese nitrides
KR101178650B1 (ko) 반도체 장치의 제조 방법, 반도체 장치, 전자 기기, 반도체 제조 장치 및 기억 매체
KR101175839B1 (ko) 반도체 장치의 제조 방법, 반도체 제조 장치 및 기억 매체
KR20190101497A (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
TWI645511B (zh) 用於銅阻障層應用之摻雜的氮化鉭
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
TW200847284A (en) Method and apparatus for manufacturing semiconductor device
JP2013507008A5 (zh)
KR20140085330A (ko) 금속 망간막의 성막 방법, 처리 시스템, 전자 디바이스의 제조 방법 및 전자 디바이스
TW200915400A (en) Film forming method, and film forming apparatus
JP2009212232A (ja) 半導体装置の製造方法、半導体製造装置及び記憶媒体
TW200837813A (en) Method of film deposition and apparatus for treating substrate
US11987878B2 (en) Chemical vapor deposition processes using ruthenium precursor and reducing gas
TWI374942B (zh)
TW201438074A (zh) Cu配線之形成方法
JP5234718B2 (ja) 半導体装置の製造方法
JPH05209279A (ja) 金属膜形成装置および金属膜形成法
WO2002017388A2 (en) Method of improving the adhesion of copper
TWI609095B (zh) 用於氮化錳整合之方法
JP5323425B2 (ja) 半導体装置の製造方法
US20120040085A1 (en) METHOD FOR FORMING Cu FILM AND STORAGE MEDIUM
JP2010010624A (ja) 半導体装置の製造装置及び半導体装置の製造方法