TWI359447B - Single mask via method and device - Google Patents

Single mask via method and device Download PDF

Info

Publication number
TWI359447B
TWI359447B TW093132031A TW93132031A TWI359447B TW I359447 B TWI359447 B TW I359447B TW 093132031 A TW093132031 A TW 093132031A TW 93132031 A TW93132031 A TW 93132031A TW I359447 B TWI359447 B TW I359447B
Authority
TW
Taiwan
Prior art keywords
contact structure
contact
forming
component
expose
Prior art date
Application number
TW093132031A
Other languages
English (en)
Other versions
TW200520050A (en
Inventor
Paul M Enquist
Original Assignee
Ziptronix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ziptronix Inc filed Critical Ziptronix Inc
Publication of TW200520050A publication Critical patent/TW200520050A/zh
Application granted granted Critical
Publication of TWI359447B publication Critical patent/TWI359447B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1359447 九、發明說明: 【發明所屬之技術領域】 本發明係關於三維積體電路之領域,且更特定而言係關 於使用直接晶圓結合來製造三維積體電路。 【先前技術】 半導體積體電路(ic)通常被製造入一矽晶圓及其表面, 產生一必須隨該1C尺寸之增加而增加之1C面積。對減少Ic 中電晶體尺寸之不斷改良(通常被稱作穆爾定律)已允許_ 給定1C面積中之電晶體數目大幅增加。然而,儘管電晶體 密度增加,但是對增加的1C複雜性及功能性之不斷需求導 致了 1C晶片面積的不斷增加。此晶片面積之增加導致晶片 良率之減少,相對地增加了晶片成本。 ‘ 1C製造之另一趨勢為在一單一1(:中增加不同類型電路之 數目,更通常而言被稱作一系統單晶片(soc)。此製造通常 需要一光罩層級數目之增加以產生不同類型之電路,及一 增加之1C面積以容納增加之電路類型之數目。此光罩層級 及1C面積之增加亦導致一良率之減少,且相對地增加晶片 成本。 一種避免此不當良率減少及成本增加之方式為垂直堆疊 且隨後互連IC。此等IC可為不同尺寸、產生於不同尺寸之 晶圓、包含不同功能(即類比、數位、光學)、由不同材料製 造(即’石夕、GaAs、InP等)。該等IC可在堆疊前進行檢測, 以允許組合良裸晶粒(KGD)以提高良率。此首先堆疊其次 互連之方式的成功視該堆疊及互連之良率及成本而定該 96914.doc 1359447 堆疊及互連之良率及成本與增加之IC面積或s〇c相關聯之 良率及成本相比為有利的。一種實現此方式之通用方法為 使用直接結合來堆疊ic,且使用習知之晶圓薄化、光微影 光罩、通道娃刻及互連金屬化來互連〗c。 此方式之互連部分之成本與姓刻通道及形成電互連所需 之光微影光罩層級之數目直接相關。因此需要使蝕刻通道 及形成電互連所需之光微影光罩層級之數目最小化。 一垂直堆疊及互連之型式為將IC(位於一基板上)面對面 地或1C側對1C側地結合。此型式通常在一晶粒至晶圓格式 中元成’其中將晶粒1C側向下結合至一 ic側向上之晶圓。 在此格式中,在結合後,該晶粒通常大體上藉由移除大部 分晶粒基板而變薄。該晶粒基板由於該基板上電晶體之位 置而通常不能完全移除。該基板因此通常移除至可實行之 最大範圍,保留足夠之剩餘基板以避免損壞電晶體。隨後 較佳藉由蝕刻一穿過剩餘基板至晶粒IC之互連位置之通道 來產生一至晶粒1C之互連,使得在此通道附近沒有必需之 電晶體。此外,為達成最高互連密度,較佳繼續此通道以 穿過整個晶粒1C且進入晶圓1C至一晶圓1C之互連位置。此 通道通常延伸穿過一絕緣介電材料,該絕緣介電材料提供 與晶粒1C及晶圓1C中之互連位置的所需之電絕緣。在此通 道形成後’通常需要將晶粒1C之互連位置與晶圓Ic之互連 位置互連。此較佳使用導電材料在該導電材料與通道側壁 上之曝露基板間之一絕緣層上完成,以避免該導電材料與 該基板間之不當電傳導。 96914.doc 丄功447 々此結構之製造通常採用四個光微影光罩層級來建置。此 等層級為1)穿過基板之通道蝕刻,2)穿過晶粒1C及晶圓1C 中之、.,邑緣介電材科之通道蝕刻,其在晶粒ic及晶圓IC中曝 路所岛導電材料,3)穿過使該導電材料電絕緣之絕緣層的 通道蝕刻,其將晶粒IC之互連位置與晶圓之互連位置互 連至曝露之基板通道側冑,該曝露之基板通道側壁曝露晶 粒ic及晶圓IC中之所需導電材料,4)與晶粒ic之曝露的互 連點與晶圓IC之曝露的互連點之間&導電材料之互連。 界疋蝕刻穿過絕緣(介電)材料之通道的圖案通常小於界 疋蝕刻穿過基板之通道的圖案,以充分曝露晶粒及晶圓 中之互連點,且以避免移除基板通道側壁上之絕緣材 料由於此等圖案在基板中的通道之形成後形成,因此該 圖案化通常以一該基板之圖案化經由之較低地形層級完 成。此導致一在一非平面結構之上的圓案化,其將該結構 之縮放比率限制至非常小的外形尺寸該非常小的外形尺 寸為達成最高互連密度所需要的,且其消耗盡可能最少之 功能性電晶體將另外存在於其上的矽基板。 因此需要-種具有-包含一結構之裝置及—種製造該結 構之方法,該結構需要一減少的遮罩步驟數目及可在一平 坦表面以結構中之最高地形層級或結構中之最高地形層級 中的一種來實現的遮罩步驟。 【發明内容】 本發明係針對一種使用一單一遮罩步驟在—第一裝置中 蝕刻穿過一基板之一或多個通道以曝露第—及第二裝置中 96914.doc u59447 之接觸’以提供接觸之互連的方法及裝置。 ’作為貫例,一單一遮罩步驟可用於蝕刻一穿過基板之 剩餘部分之通道,蝕刻一穿過曝露兩個分離且垂直堆疊冗 ^置中之導電材料之絕緣材料之通道,以所需絕緣材料覆 風所需曝露之剩餘基板部分表面,及藉由自該導電材料移 除所需絕緣材料而不自所需曝露之剩餘基板表面移除所需 絕緣材料來曝露兩個分離的IC裝置中之導電材料。 本發明進一步針對一種包含兩個分離的Ic裝置中之互連 =間的互連之方法及裝置,#巾—料步驟不以—比該單 —遮罩步驟低之地形層級完成。 本發明之一目的為蝕刻一穿過不同材料之通道,曝露在 至少兩個比使用-單-遮罩步驟之頂部表面低的不同地形 層級上之導電材料。 本發明之進纟目的為在一於兩個皮下_電層以上之 層級上遮罩一互連該等兩個皮下導電層之互連層級。 本發明之另-目的為避免在一凹陷中圖案化一光罩。 本發明之另一目的為使兩個堆疊ic間之互連密度最大 化。 本發明之另—目的為使用於形成兩個堆疊1C間之互連的 基板數目最小化。 一裝置來達成,該裝置具有一具 元件及一具有一第二接觸結構之 此等及其它目的係藉由 有一第一接觸結構之第一 第二元件。該第~元件結合該第二元件。-第一通道形成 於該第-元件’且自第—元件之後表面延伸至第一接觸結 96914.doc m 虚 〜^道自第接觸結構延伸至第二接觸結構,j 1 -通道相通。一接觸構件連接第一與第二接觸結構‘ 此等及其它目的亦藉由一種將結合一起之第一及第二元 目互連接之方法達成,該方法包括在該第—元件之—曝 側:成-光罩,使用該一光罩來蝕刻該第一元件且曝露 該第一70件令之―第—接觸結構,㈣穿過該第_與第二 兀件間之-結合介面’及曝露該第二元件中之一第二接觸 、。構且連接該第一及該第二接觸結構。 【實施方式】 見將參看圖式,尤其參看圖i,其說明一根據本發明之方 法之第實%例。此處應注意該等圖式並未按比例繪製, 而為說明本發明之概念所繪製。 基板10包括一具有接觸結構12之裝置區域11。基板10可 視所需應用而定由諸多材料製造,諸如半導體材料或絕緣 材料通吊,基板10係由矽或III-V族材料製造。接觸結構 12通常為金屬墊或與形成於基板10中之裝置或電路結構 (未圖示)接觸之互連結構。基板丨0亦可包含該等接觸結構i2 連接之一積體電路,且基板10可為一僅包含接觸結構之模 組。舉例而言,基板10可為一用於互連被結合至基板10上 之多個結構的模組,或可為一用於形成可供在如一印刷電 路板上封裝或整合其它模組或電路結構之連接的模組。該 模組可由諸如石英或陶瓷之絕緣材料製造。 二個分離的晶粒14-16經定位以用於結合至基板10並於 表面13上。每一晶粒具有一基板部分19、一裝置區域18及 96914.doc 丄359447 接觸結構17。晶粒可先前藉由切割等與另一晶圓隔離。晶 粒14-16可視所需應用而定由諸多材料(諸如半導體材料)製 造。通常,該基板係由矽或m-v族材料製造。接觸結構17 通吊為金屬塾或接觸形成於裝置區域18中之裝置或電路結 構的互連結構。墊12及17各自之尺寸可變化。該等尺寸及 相對尺寸為視對準公差、電路設計參數或其它因素而定。 該等墊之尺寸被繪製以說明發明概念而不意欲限制。裝置 區域18亦可包含一接觸結構17連接之積體電路。可移除大 體上所有的基板部分19’保留一裝置層、一電路或一電路 層。又,可在結合至一所需厚度後修膜晶粒14_16之基板。 曰曰粒14 16可為與晶圓丨〇技術相同或技術不同。晶粒 14-16各自可為相同或不同裝置或材料。每一晶粒具有 形成於-裝置區域18中之導電結構17。結構17彼此間隔以 在’、間保遠間隙,或可為一具有一可延伸穿過整個接觸 構之縫隙的單一結構。換言之,該縫隙可為一接觸結構 中之孔穴或可將該接觸結構分為兩部分。間隙或縫隙之尺 寸可由用於I。合之特殊技術的光微影基本規則來判定,即 至/為用於將以足夠低之接觸電阻可靠地形成之後繼接觸 連接結構12及17的最小寬度。 判疋該間隙或縫隙最佳尺寸之額外因素$,由導電結 構Π與12之間的垂直間隔給定的距離加上該導電結構口之 厚度與該間隔或縫隙尺寸之比率。此界定-將隨後形成於 導電1J構17與12間以使結構17與12間之能夠電互連的通道 之縱k比。對於氧化物至氧化物之直接結合而言,此垂直 96914.doc 1359447 間隔通常為1 _ 5微半,l ± ^ ,、如申請案第〇9/5〇5,283號中所說明 的,s玄申請案之内容以引 用之方式倂入本文,或對於金屬
直接結合而言此垂直間JJS 田可月b為零’如申請案第J 0/3 5 9 608 號中所說明的,該申請案之内容以引用之方式併入本文。 此外,導電結構17之厚度通常為〇5至5微米。在視使用之 處理技術而定具有-典型需要之通道縱橫比為0.5比5時, 間隙之尺寸的典型範圍對於氧化物至氧化物結合而言為 0.3-20微米,或對於接觸直接連接而言為〜〇,m〇微米。 曰a粒14 1 6通;ji與接觸結構丨2對準,使得結構丨了及間隙或 縫隙定位於相對應之接觸結構12上。選擇接觸結構Μ之尺 寸以允許晶粒14-16可簡單地與結構17間之間隙對準。此尺 寸視用於將晶粒14-16置放於基板10上之方法的對準精度 而定。使用市面有售之產品工具的典型方法允許對準精度 在卜10微米之範圍中,儘管此等工具之未來改良可能導致 更小之對準精度。間隙或縫隙外部之結構丨7之橫向延伸較 佳為至少係由從對準精度所給定之距離。 儘管對於每一晶粒14-1 6僅展示一組結構17,但是應理解 結構17之橫向延伸通常遠遠小於每一晶粒14_16之橫向延 伸’使得每一晶粒可具有若干或很多結構1 7。舉例而言, 結構1 7可具有一 1 -1 〇〇微米範圍中之橫向延伸,且晶粒14_丄6 可具有一 1-100 mm範圍中之橫向延伸。具有一 1〇4及更高量 值之晶粒14-16中的一些結構17因此可實際實現。 如圖2A所示,晶粒14之表面20結合至基板]〇之表面I〗。 此可藉由諸多方法完成’但較佳使用一如申請案第 96914.doc 1359447 09/505,283號所述之結合方法在室溫下結合。圖2說明了將 晶粒14-16結合至基板10。在結合後薄化晶粒ι4_丨6之基板。 薄化通常藉由磨光、拋光、钱刻或此等三種技術之組合來 達成,以保留薄化之基板21或以完全移除基板部分19。圖 2B說明了基板部分19完全或大體上完全移除之實例^又, 可先於結合來薄化晶粒14-16之基板。 §二個曰曰粒在圖2A中展不為結合至一單一基板1〇時,亦 可月b將較大或較小數目之晶粒結合至基板1 〇。又,可能会士 合其尺寸可與基板10相較之另一基板,其在圖2C中說明且 其中一具有一裝置區域23之基板22結合至晶圓1〇,使得彼 此間隔之導電結構24通常與導電結構丨2對準。可先於結合 來薄化或移除基板22以有助於對準。可在結合後薄化基板 22,且若需要可大體上移除全部基板22。下列圖式中說明 之程序亦可應用於圖2B及2C所示之結構,但為簡潔起見省 略了個別圖式。 如圖3A所示,一等形介電薄膜3〇在基板1〇及晶粒i4_i6 之表面13上形成。此薄膜可藉由例如CVD、PVD或PECVD 形成,且較佳由一諸如氧化矽之氧化薄膜組成。又,如圖 3B所不,一諸如一經沉積或經旋塗之氧化物或聚合物32(諸 如聚醯亞胺或苯幷環丁烯)的填充材料可在晶粒i4_i6之上 及/或在晶粒14-1 6間形成。材料32可在製程中之各個點上形 成。圖3B展示了材料32先於形成薄膜30及31而形成之實 例。填充物、材料亦可在形成圖3A所示之結構後、形成光 罩40(圖4)後或視諸如材料選擇或溫度考慮之諸多因素而定 96914.doc 12 1359447 在製程中各個盆夕既μ取+ . 分调,、匕點上形成。具有一平坦表面可改良在該 表面上形成光阻及笪它每眩,好太兮笙祛μ丄 一 八匕潯膜及在6亥荨溥膜中形成如圖4 所示之縫隙41的縫隙。 隨後,一硬式光罩31形成於介電薄膜3〇上,且其被圖案 2以保留通常與結構17對準之縫隙41(圖4)。該硬式光罩較 佳由-材料組成’該材料對於用於蝕刻一穿過薄化基板η 及裝置區域18與11以接觸基板丨2之通道的後㈣刻處理或 (多個)處理具有高度蝕刻選擇性。一硬式光罩之實例為鋁、 鎢、始、鎳及鉬,且一姓刻處理之實例為钱刻一穿過一薄 化矽基板之通道的基於SF6之反應性離子蝕刻,及蝕刻一穿 過裝置區域18及11以接觸結構12之後繼通道的基於cm之 反應性離子蝕刻。 使用標準光微影圖案化及硬式光罩31及介電薄㈣之韻 刻技術來形成縫隙41。舉例而言,一縫隙可使用光微影技 術在一光阻中形成。此縫隙可與晶粒14_16(或基板22)上之 對準標記或基板10對準。光學或IR成像可用於該對準。接 著可以一適s濕式化學溶液或一視該硬式光罩材料而定之 乾式反應性離子蝕刻來蝕刻硬式光罩31 ’在縫隙中顯露介 電薄膜30。接著可以一與硬式光罩31相似之方法以一適當 濕式化學溶液或一視該彳電薄膜材料而定之乾式反應性離 子蝕刻來蝕刻介電薄膜3〇β若該硬式光罩為鋁,則用於硬 式光罩之濕式化學溶液之實例為Aluminum Etchant hpe A。若該介電薄膜材料為二氧化矽,則用於一介電薄膜材料 之反應性離子蝕刻之實例為基於c F 4之反應性離子蝕刻。諸 96914.doc 1359447 多其它濕式及乾式蝕刻對於此等及其它硬式光罩及介電薄 膜材料均為可能的。若縫隙與晶粒14-16(或基板22)對準, 則縫隙41之寬度較佳比結構17之間的間距更寬,或,若縫 隙與較低基板20對準,則該縫隙41之寬度較佳比該等結構 17之間的間距加上用於將晶粒14-16(或基板22)置放於基板 20上之方法之對準精度更寬。 如圖5所示,使用硬式光罩40,蝕刻晶粒14-16之基板部 分以形成通道50。繼續蝕刻穿過圍繞導電結構12及17的通
常為介電材料之材料’以曝露導電結構17之背面與側面部 分及一導電結構1 2之頂部表面。例如基於SF6之第一組氣體 及條件可用於蝕刻穿過晶粒14-16之基板材料,且例如基於 CF4之第二組氣體及條件可用於蝕刻穿過圍繞接觸結構 之介電層。兩種蝕刻可藉由適當地切換氣體及條件在一腔 室中執行’而不必打破真空。圖6A展示了曝露導電結構Η 之㈣。該㈣產生—延伸穿過導電結構17之間隙或縫隙 至導電結構12的通道部分6〇。
曝露導電結構12及17之介電通道㈣較佳具有對導電 構17之面度㈣選擇性,使得避免對於導電結構Η之不 量的㈣’可存在導致對於導電結構以不利量 蚀刻的介電通道㈣與導t結構之某些組合。舉例而兮 當導電結㈣足夠薄或當導體12與17間之垂直距離足二 時,可出現不利影響。 不利量之蝕刻的實例A ___ ^ ^ 為由一虱化矽介電質圍繞之鋁 、·口構1 7之某些組合,及羋此 ”二基於CF4之反應性離子蝕刻 96914.doc 14 I:導電結構蝕刻率與二氧化矽介電質蝕刻率的比率可與 由·。構17之厚度與導電結構12與⑽二氧切介電質之 θ又的比率相較,或高於該比率❶ :存在對於結構丨7之不利量之#刻的情況下可增加 電。構17之厚度或可增加—中間步驟以保護導電結構免 ;I電通道蝕刻。一中間處理步驟可用於避免如下不利蝕 7。當介電钮刻首先曝露上部導電結構17之背面及側面部 二時’在繼續介電蝕刻導致對導電結構17之不利蝕刻前, 1金屬材料之硬式光罩可選擇性地沉積於導電結構17 入 卩刀上在硬式光罩選擇性地沉積後,可繼續該 介電餘刻而不會對導電結構17產生不利姓刻…硬式光罩 選擇陡儿積之-實例為無電鍍鎳。此如圖6B所展示,其中 在曝露接觸結構17後且在任何顯著不利㈣出現前停止钱 刻。接觸結構η隨後塗覆一保護性硬式光罩材料61,例如 鍍之鎳β諸如鎳之材料可在結構12與17之後繼 連接中保留於裝置中若需要,可在形成連接結構12 與17前移除該材料61。 應庄保濩性硬式光罩61亦可選擇性地沉積於硬式光 罩40上。一實例為當硬式光罩4〇可導電,且保護性硬式光 罩61之沉積以無電鑛來達成。此可對減少硬式光罩40之所 需厚度有利。保護性光罩材料6丨沉積於硬式光罩上之一 進一步優勢可為一 it道50之縫隙的限制,此導致自通道仙 之各向異性钱刻遮蔽接觸結構17之一部分。圖7八詳細圖解 說明了 S件14-16之-,以更清楚地圖解說明後繼步驟。一 96914.doc -15- 1359447 等形絕緣薄膜70形成於光罩40及導電結構12與17上及通 道50與60之側壁,並部分地填充通道5〇與6〇。適當之絕緣 薄臈之實例為二氧化矽、氮化矽或聚對二曱苯基。該絕緣 薄膜可使用諸多典型沉積方法形成,該等方法包括但不限 於物理汽相沉積、化學汽相沉積及汽相沉積。物理汽相沉 積之一實例為濺射,化學汽相沉積之一實例為電漿增強化 學汽相沉積,且汽相沉積之一實例為一固體之汽化,繼而 高溫分解且隨後沉積。 在藉由例如蝕刻形成等形絕緣薄膜7〇前,可移除光罩利 或光罩40及介電薄膜30。圖73說明了移除光罩仂之情況。 若移除光罩40或光罩40及薄膜3〇之蝕刻對於由通道/〇及6〇 曝露之材料為選擇性的,則此蝕刻可完成而無需一光罩。 若此蝕刻對於由通道50及60曝露之材料不為選擇性的,則 在通道50及60中經受触刻之該等材料可藉由一钱刻材料遮 罩。舉例而言,若硬式光罩4〇及接觸結構12與17均為鋁, 則該等通道可以易移除之旋塗黏性液體材料部分地填充至 -深度i使得覆蓋接觸結構12及17。藉由首先選擇將適當 平坦化精由硬式光罩4〇(通道5〇及6〇穿過其形成)形成之表 面的適當之旋塗薄膜厚度’該等通道可以一旋塗黏性液體 材料部分地填充。此薄膜厚度之應用將隨後導致-通道内 比通道外更厚之薄膜厚度。_整個表面之適當則隨後自 硬式光罩40之表面移除此材料’而保留覆蓋接觸結構以 17之通道50及60中的材料。易移除之旋塗材料與適當餘刻 之一實例分別為光阻與_〇2電漿敍刻。 96914.doc •16- 1359447 等形薄膜70為各向異性蝕 蝕刻的,以曝露結構12及17而保 留通道50及60之側壁上的薄膜7〇 存膜70較佳曝露一結構π之後 表面以產生-突出部分以增加接觸表面面帛,導致接觸電 阻減t。一超過丨微米之典型突出部分寬度較佳用於使接觸 電阻最小化,但此距離蔣其热骷里 將基於裝置及製程參數而變化。圖 8 A及8B分別描繪了在形成笠裉 仏成專形絕緣薄膜70前未移除與移 除光罩40之經钱刻的等形薄膜7〇。 薄膜30及40均可在形成層7G之前移除。在此情況下,在 蝕刻等形層70後,另一絕緣層可藉由例如氧化或沉積形成 於基板部分21(或部分21完全移除之裝置部分丨^上。 一接觸結構17之側表面亦可在各向異性蝕刻中曝露,以 進步增加表面面積且降低接觸電阻。此亦在圖8A及8B中 展示。通道50及60可隨後以金屬進一步填充或完全填充。 以金屬填充通道50及60之方法包括但不限於物理汽相沉積 (PVD)、化學汽相沉積(CVD)或電鍍。電鍍通常用於沉積比 PVD或c VD更厚之薄膜,且一薄pVD或CVD晶種層之沉積 通常先於電鍍。PVD之一實例為濺射之鋁或銅,CVD之一 實例為鎢CVD,且電鍍之一實例為使用經濺射之銅晶種層 的銅電鑛。 圖9A展示了一遮罩電鍍方法之實例,其中一金屬晶種層 90首先沉積於該結構之上,與接觸結構12及17產生電接 觸’隨後使用如光阻91形成一光罩。使用光罩91與晶種層 90電接觸,金屬接觸92填充通道50及60。在圖9B中,展示 了 一結構,其中光罩40在形成等形絕緣薄膜70前移除,且 96914.doc -17- 1359447 圖9C展示了未使用晶種層之結構。可接著使用一諸如化學 機械磨光之磨光步驟以移除通道50及60外金屬接觸92之超 出部分。此磨光步驟亦可移除晶粒14_ 16之曝露側上之金屬 μ種層90。其進一步可移除晶粒]4-16之曝露側上之硬式光 罩40。若硬式光罩在上文給定之鋁之情況下為導電的,則 為了將所形成之金屬填充通道相互電絕緣,較佳移除硬式 光罩40。此磨光步驟可進一步移除等形介電薄膜3〇,導致 —大體上平坦之表面及晶粒14_16之曝露側上之平坦金屬 結構100,如圖1〇Α及10B所示,其中圖1〇B中之結構因在以 金屬填充通道前未使用晶種層而不同於圖1〇A中之結構。圖 Ι0Α及10B之結構適於後繼處理,包括但不限於基於光微影 互連路徑選擇或支持線結合或覆晶封包之凸塊下 (underbump)金屬化。此處理通常包括形成晶粒丨4_丨6之曝露 側上的電絕緣材料以提供用於互連路徑選擇或凸塊下金屬 化之電絕緣。 圖II展不了一具有諸如一在CMp後形成於晶粒〗416上 的經沉積或經旋塗之氧化物或聚合物之絕緣材料96,及形 成於材料96上與金屬結構1〇〇接觸之互連路徑選擇或凸塊 下金屬化95之實例。如圖3B所示,在形成材料%之前,可 使用另-填充材料。金屬化可包括由絕緣層隔開之諸多層 級(此處未展示),以容納—高通道密度及/或高度路徑選擇 複雜!生或’右s玄磨光步驟並未移除等形介電薄膜%,則 保留等形介電薄膜且可向金屬化結構提供適當之電絕緣。 圖U展示了一根據本發明方法之第二實施例。一硬式光 96914.doc -18- 1359447 罩101开>成於晶粒14-16而不存在任何介入介電層。該硬式 光罩101較佳包含一材料,該材料對於用於蝕刻一穿過薄基 板21及裝置區域18與u以接觸基板12之通道的後繼蝕刻處 理或(多個)處理具有高度蝕刻選擇性。硬式光罩之一實例為 鋁、鎢、鉑、鎳及鉬,且蝕刻處理之一實例為蝕刻一穿過 一薄化之矽基板之通道的基於SF6之反應性離子蝕刻,及蝕 刻一穿過裝置區域18及11以接觸結構12之後繼通道的基於 CF4之反應性離子蝕刻。縫隙1〇2形成於光罩1〇1中,且如第 一實施例處理該結構以蝕刻穿過晶粒基板及裝置區域以曝 露結構12及17,而較佳曝露結構17之頂部表面以形成一突 出部分(諸如圖8A及8B中所示之8〇)。如圖7_9所示使用光罩 1〇3形成金屬接觸104來執行金屬化以產生圖13所示之結 構。在CMP(圖14)後,該結構適於後繼處理,f亥等處理包括 但不限於基於光微影之互連路徑選擇或支持線結合或覆晶 封包之凸塊下金屬化,諸如_所示之金屬化結構。此處 理可包括形成晶粒14·16之曝露侧上的電絕緣材料,以提供 用於該互連路徑選擇或已於晶粒14_16之曝露侧上選擇路 徑之凸塊下金屬化的電絕緣。為進一步協助互連路徑選擇 或㈣下金屬化’可形成一例如聚酿亞胺或苯幷環丁稀材 料之平坦化材料’以例如藉由在㈣處理前或後填充晶 粒、縫隙或溝㈣之任何空間,使該結構之表面平坦化。 本發明亦可與其它結構共同使用。舉例而言,無需一對 接觸17 ’但-晶粒或晶圓中之—單一接觸可連接至其結合 之基板中之-接觸。此在圖15中進行了說明,其中金屬接 96914.doc 1359447 觸107與接觸結構12及108互連,而結構1〇8自結構12偏移。 一光罩之第一邊緣部分形成於結構1〇8之上,而一第二邊緣 部分形成於結構12之上但不在結構1〇8之上。接_ 1〇7之一 部分(左側)自基板部分1〇9之上部表面直接延伸至結構 108,而接觸1〇7之另一部分(右側)自基板部分1〇9之上部表 面直接延伸至結構12。 本發明提供諸多優勢。一單一光罩用於蝕刻穿過一結合 至一基板之晶粒或晶圓之後側,以將該晶粒或晶圓與該基 板互連。在通常可為複雜、有問題及限制縮放比率之通道 中不需要光微影技術。蝕刻藉由一結合介面進行。另外, 可能曝露接觸之頂部表面以進行互連,增加接觸之表面面 積且減少接觸之電阻。可互連不同技術之裝置,優化裝置 效能且避免與嘗試以-單一製料列製造不同技術相關之 問題。 圖16A、16B及17展示了一進一步實施例。基板ιι〇具有 一具有接觸結構112之裝置部分如圖16入所示,各自具 有-基板部分121及接觸117之晶粒114_116結合至基板ιι〇 於表面上。在此實施例中’不存在覆蓋接觸結構ιΐ2之 材料。在為說明第-或第二實施例之單一光罩製程後,產 生圖16B及17所示之結構一通道5〇蝕刻穿過基板"8,曝 露一接觸結構117之平坦(後)表面。繼續該姓刻以曝露一接 觸結構112之頂部表面。接觸12〇形成於通道中,其可具有 或不具有-晶種層90,並與結構"2及"7連接。填充;才料 可用於使該裝置平坦化’如上述參看S3B之討論。 969I4.doc -20- 1359447 圖18-19展示了一更進一步實施例。在此實施例中不存在 覆盍接觸結構122或123之材料。晶粒114-116中之接觸結構 123可延伸超出晶粒Η4-116之表面,且接觸結構122可延伸 超出表面113。具有曝露之金屬的晶粒π 4· Π6結合至具有 曝露之金屬的表面113,如申請案第1〇/3 5 9,608號所說明 的。晶粒114-116與基板110間之結合在接觸結構122與123 間彈性產生一壓縮力,產生—在接觸電阻足夠低之結構122 與123之間的接觸。在為說明先前實施例之單一光罩製程 後’產生圖19所示之結構。 根據上述示教本發明之很多修改及變化係可能的。因此 應瞭解’在所附之申請專利範圍之範疇内,本發明亦可使 用不同於本文所明確說明之方法來實施。 【圖式簡單說明】 圖1為一展示了將被面向下結合至一面向上之晶圓的晶 粒之圖式; 圖2Α為一結合至一基板之晶粒的圖式; 圖2Β為一結合至一基板之晶粒的圓式,該晶粒之基板之 一部分被移除; 圖2C為一結合至另一基板之基板的圖式; 圖3Α為一展示了在圖2Α之結構之上的介電薄膜及光罩 層之形成的圖式; 圖3Β為一屐示了在形成一平坦化材料後形成一介電薄膜 及光罩層之圖式; 圖4為一展示了一形成於圖3Α與3Β之介電薄膜及光罩層 96914.doc •21 - 丄:〇y447 令的設備之圖式; 圖5為—展示了使用如圖4所示形成之設備_晶粒之圖 式, 圖6A為展不了進一步蝕刻以曝露晶粒及晶 構之圖式,· 觸、·Ό 圖6Β為-包括形成_硬式光罩之—製程修改之圖式; 圖7Α為形成-等形絕緣側壁層後的圖6Α結構之 分之圖式; w 圖7Β為-移除了硬式光罩之實施例之變化; 為展示了一荨形絕緣側壁層之各向異性姓刻之圖 式; 圖8Β為移除了硬式光罩之實施例之變化; 圖9Α為一展不了形成一包含一金屬晶種層及一金屬填充 之金屬接觸之圖式; 圖9Β為一移除了硬式光罩之實施例之變化; 圖9C為一不形成晶種層之實施例之變化; 圖1〇Α為一在化學機械磨光後的圖9Α或9Β之結構之圖 式; 圖10Β為一在化學機械磨光後的圖9c之結構之圖式; 圖11為一說明圖1 0Α之結構金屬化之圖式; 圖12為一使用一光罩層而不存在一介入介電層之一第二 實施例之圖式; 圖13為一展示了在第二實施例中形成一金屬接觸之圖 式; 96914.doc -22- 1359447 圖14為一展示了在化學機械磨光後的圖13之結構之圖 式; 圖15為一說明了本發明之另一實施例之圖式; 圖16A為一說明了一接觸結構位於該等裝置之一之表面 的實施例之圖式; 圖16B為一在進一步處理後的圖16A之結構之目气· 法以圖16A及 以圖18之結構 圖17為一展示了一使用根據本發明之方 16B之結構製造之裝置的圖式; 圖18為一本發明之另一實施例之圖式及 圖19為一展示了一使用根據本發明之方 製造之裝置的圖式。 【主要元件符號說明】 10 基板/晶圓 11 裝置區域 12 接觸結構/墊 13 表面 14-16 晶粒 17 接觸結構/墊/導電結構 18 裝置區域 19 基板部分 20 表面 21 薄基板 22 基板 23 裝置區域 96914.doc -23- 1359447 24 導電結構 30 等形介電薄膜 31 硬式光罩 > 32 氧化物或聚合物 40 光罩 41 缝隙 50 通道 60 通道部分 61 保護性硬式光罩材料 70 等形絕緣薄膜 80 突出部分 90 金屬晶種層 91 光阻/光罩 92 金屬接觸 95 互連路徑選擇或凸塊下金屬化 96 絕緣材料 100 金屬結構 101 硬式光罩 102 缝隙 103 光罩 104 金屬接觸 107 金屬接觸 108 接觸結構 109 基板部分 96914.doc -24- 1359447 110 基板 111 裝置區域 112 接觸結構 113 表面 114-116 晶粒 117 接觸結構 118 基板 120 接觸 121 基板部分 122 接觸結構 123 接觸結構
96914.doc -25-

Claims (1)

1359447 第093132031號專利申請案 中文申請專利範圍替換本⑽年5月)|細年t月"日太 十、申請專利範圍: L ^ 1_ 一種將結合一起之第一盘 包含: ”第--件相互連接之方法,其 在該第-元件之-曝露側上形成一光罩;〜 使用該-光罩以蝕刻該第—元件· 元件中之第-接觸結構,㈣穿過該第 ^該第- 元件間之-結合介面,且曝露一在該第二元=第二 二接觸結構;及 件中之一第 連接該第-接觸結構與該第二接觸結構。 2.如請求項1之方法’其,曝 含: 牟筏觸結構之步驟包 曝露該第一接觸結構之一平坦表面,·及 將該平坦表面連接至該第二接觸結構。 3. 如請求項2之方法,其包含: 曝露該第一接觸結構之一側表面。 4. 如請求項2之方法,其包含: 形成該第一接觸結構以具有一縫隙丨及 姓刻穿過該縫隙以曝露該第二接觸結構。 5. 如請求項2之方法,其包含: 並使該 將-亥第-接觸結構形成為至少一對接觸元件 等接觸元件之間具有一間隔;及 兹刻穿H間隔以曝露該第二接觸結構。 6·如請求項1之方法,其包含: 形成該第一接觸結構以具有一縫隙,·及 96914-I0005IJ.doc 刻穿過該縫隙以曝露 7.如請求们之方法,其包^接觸結構。 將該第—接觸結構形成為至少_對 等接觸結構之間具有—間隔;及,接觸、構,並使該 蝕刻穿過該間隔以曝露該第 8. 如請求項!之方法, 接觸、、,。構。 在結合後移除該第—元件之 及 在該移除步驟之後執行純料^至^部分,· 9. 如凊未们之方法,其中複 元件,該方法包含: 讀被結合至該第: 在母5亥等第一元件之一曝霞/L 使用W胃 冑露側场成該光罩; 一玆耸笛—-▲ 該等第一兀件以便曝露一在与 笫-K中之第-接觸結構,蝕刻穿過每一" 第一元件與該第-;处„ ^ 及考 礤之一結合介面,且曝露福I彳κι 在該第二元件中之第二接觸結構;及 #路複數個 連接該等第一與第二接觸結構。 10.如請求項9之方法,其包含: 在結合後移除每-該等第—元件之—基板之至少 分;及 在該移除步驟之後執行該蝕刻步驟。 11.如明求項1之方法,其中該連接步驟包含: 形成一與該第一接觸結構及該第二接觸結構接觸之金 屬晶種層;及 “ 在忒金屬晶種層上形成一金屬接觸。 969J4-1000511.doc U·如凊求項11之方法,其包含: 在形成該金属接觸之後以化學機械方式磨光該金屬接 觸及該第一元件。 13. 如請求項1之方法,其包含: 在該第一元件上形成一介電層; 在該介電層上形成該光罩。 14. 如請求項1之方法,其包含: 直接在該第一元件之該曝露側上形成該光罩。 15. 如請求項1之方法,其包含: 在該第—元件之一後側上形成該光罩; 曝露該第一接觸結構之-後表面;及 曝露該第二接觸結構之—料表面。 16. 如請求項1之方法,其包含: 在該餘刻步驟期間於該第—元件中形成H 在該通道之側壁上形成一介電層;及 構蝕刻該介電層以曝露該第1觸結構及該第二接觸結 17. 如請求項16之方法,其包含: 在該介電層上形成—今麗a 嗲第一 金屬阳種層,且該金屬晶種層與 人 觸結構及該第二接觸結構相接觸;及 在該金屬晶種層上形成一金屬接觸。 18. 如請求項1之方法,其包含: 使用該光罩以在該第一元件中形成一第-通道;及 使用。亥第—接觸結構以在該第二元件中形成—第二通 96914-1000511.doc 道。 19.如請求項士、+ 觸結構之第 '’其中該第一元件包含一具有該第一接 觸結構之第I;置置:且該第二元件包含-具有該第二接 20_如請求項1 ,/、中該第一元件包含一具有該第一接 ^ _ 置且該第二疋件包含一具有複數個包 括該第二接觸結構之連接結構之模皱。 21.如請求項1之方法,其包含: ^。光罩以具有-形成於該第-接觸結構上之邊緣 部分,及—拟# w 形成於該第二接觸結構上且不在該第一接觸 釔構上之第二邊緣部分。 22·如請求項1之方法,其包含: X第元件結合至該第二元件,以致使得該第一接 觸、’。構直接接觸該第二接觸結構。 23. 如請求項1>士、+ ^ , 一 法,其中複數個第一元件被結合至該第二 元件,該方法包含: 在每該#第一元件之一曝露側上形成該光罩; 使用^光罩以蝕刻每一該等第一元件,以便曝露一在 每:該等第一元件中之帛一接觸結構,㈣冑過每一該 等第70件與該第二元件間之一結合介面,且曝露複數 個在S亥第二元件中之第二接觸結構;及 連接該等第一與第二接觸結構。 24. 如請求項23之方法,其包含: 將複數個第一接觸結構連接至各別的複數個第二接觸 96914-1000511.doc -4- 1359447 結構。 25如請求項1之方法,其包含: 在曝露該第一接觸結構之後停止該蝕刻; 在該第一接觸結構上形成一光罩材料;及 在該形成步驟之後再繼續該蝕刻以曝露該第二接觸結 構。 26.如請求項25之方法,其中該形成步驟包含以一金屬材料 無電鍍該第一接觸結構。 27· —種將一具有一第一基板部分之第一裝置連接至一元件 之方法’該第一裝置被結合至該元件以致曝露該第一裝 置之该基板部分’該方法包含: 在該基板部分上形成一第一光罩; 使用該第一光罩蝕刻該第一裝置以曝露一在該第一裝 置中之第一接觸結構;及 將該第-接觸結構用作一第二光罩進行姓刻以曝露一 在該元件中之第二接觸結構;及 連接該第一接觸結構與該第二接觸結構。 28. 如响求項27之方法,其中曝露該第一接觸結構之步驟包 含: 曝露該第-接觸結構之一平坦表面;及 將該平坦表面連接至該第二接觸結構。 29. 如请求項28之方法,其包含: 曝露該第—接觸結構之一側表面。 3 0.如請求項28之方法,其包含: 96914-1000511.doc I 形成該第—接觸結構以具有—縫隙;及 餘刻穿過該縫隙以曝露該第:接觸結^ 31. 如請求項28之方法,其包含: 將該第#觸結構形成為至少一對接觸元件並使該 等接觸元件間具有一間隔;及 ㈣穿過該間隔以曝露該第二接觸結構。 32. 如請求項27之方法,其包含: 形成該第-接觸結構以具有一縫隙;及 姓刻穿過該縫隙以曝露該第二接觸結構。 33. 如請求項27之方法,其包含: 將该第-接觸結構形成為至少_對接觸結構,並使該 4接觸結構間具有一間隔;及 ㈣穿過該間隔以曝露該第二接觸結構。 34. 如請求項27之方法,其包含: 在結合後移除該第一裝置之一基板之至少一部分;及 在該移除步驟之後執行祕刻步驟。 35. 如請求項27之方法,苴 件,該方法包含:複數個第一裝置被結合至該元 在每一該等第_萝署 使用露侧上形成該光罩,· 使用,玄先罩以钱刻每一 每一該等第—亓杜Λ 弟兀件,以便曝露一在 等第-元件二 第一接觸結構,敍刻穿過每-該 禾兀件與该兀件間之一結人八品 該元件中之第二接觸結構;及〇 且曝露複數個在 連接該等第—與第二接觸結構。 96914-1000511.doc • 6 · 1359447 36.如請求項35之方法,其包含: 在結合後移除每—該等第一元件 分;及 巷扳之至少一部 在該移除㈣之後執行㈣❹^ 37. 如請求項35之方法,其包含·· 將複數個第-接觸結 結構。 合幻的複數個第二接觸 38. 如請求項27之方法,其中該連接步驟包含: 形成一與該第—接觸結構及該 金屬晶種層;及 接觸結構相接觸之 在該金屬晶種層上形成一金屬接觸。 39. 如請求項27之方法,其包含: 置在形成該金屬接觸之後以化學機械方式磨光該第一裝 40. 如請求項27之方法,其包含: 在該第一裝置上形成一介電層; 在該介電層上形成該光罩。 41. 如請求項27之方法,其包含: 在該第一裝置之一後側上形成該光罩; 曝露該第一接觸結構之一後表面;及 曝露該第二接觸結構之一頂部表面。 42. 如請求項27之方法,其包含: 在該钮刻步驟期間於該第一裝置中形成一通道; 在該通道之側壁上形成一介電層;及 , 96914-1000511.doc 1359447 蝕刻該介電層以曝露該第一接觸結構及該第二接觸結 構。 43. 如請求項42之方法,其包含: 在該介電層之上形成一金屬晶種層,且該金屬晶種層 與該第一接觸結構及該第二接觸結構相接觸;及 在該金屬晶種層上形成一金屬接觸。 44. 如請求項27之方法,其包含:
使用該光罩以在該第一裝置中形成一第一通道;及 使用該第一接觸結構以在該元件中形成一第二通道。 45. 如請求項27之方法,其中該元件包含一模組,其具有複 數個包括該第二接觸結構之連接結構。 46·如請求項27之方法,其包含: 將-玄第I置結合至該元件,以致使得該第一接觸結 構直接接觸該第二接觸結構。 47.如請求項27之方法,其包含:
蝕刻該第-裝置以曝露該第_接觸結構; 在該第一接觸結構上形成-光罩材料;及 在該形成一光罩材料 作一第一光罩進行蝕刻 觸結構。 之步驟後,將該第一接觸結構用 ,以曝露一在該元件中之第二接 48 該形成一光罩材料之步驟包含 •如請求項47之方法,其中 以一金屬材料無電步 电鍍"玄第一接觸結構 49. 一種半導體裝置,其包含: $ 一具有一第一接 觸結構 之第一元件; 96914-100051J.doc ^59447 50 一具有一第二接觸結構之第二元件; 該第一元件被結合至該第二元件; 一形成於該第一元件中且自該第—元件之一後表面延 伸至該第一接觸結構之第一通道; 一自該第一接觸結構延伸至該第二接觸結構且與該第 —通道相通之第二通道; 該第一接觸結構被直接連接至該第二接觸結構;及 一連接至該第—接觸結構及該第:接觸結構之接觸構 件。 如請求項49之裝置,其包含··忒第-接觸結構,其具有一連接至該接觸構件之平坦
51. 如請求項49之裝置,其包含: 該第一接觸結構,其具有連接 表面及一側表面。 至该接觸構件之一平坦 52. 53. 54. 如Μ求項49之裝置,其包含: 該第-接觸結構,其具有一縫隙;及 λ接觸構件,其延伸穿過該縫隙至 如請求項49之裝置,其包含: 接觸」 =接觸結構,其包含至少一對接觸結構’ 接觸結構間具有一間隔;及 如請件’其延伸穿過該間隔至該第二接觸結 月衣項49之裝置,其包含: -連接至該接觸構件之該第—接觸結構之後表面
96914-1000511.doc -9· ^^447 55.如請求項49之裝置,其包含: 形成於該第一通道及該第二通道中之每一通道之側 上的介電薄膜;及 該接觸構件,其包含一形成於該介電薄膜上之第一金 屬層及—形成於該第一金屬層上之第二金屬層。 56.如請求項49之裝置,其包含: 一形成於該第一元件上之光罩。
57. 如請求項56之裝置,其包含: Λ光罩,其對於為形成該第—通道而自該第-元件處 J掉的材料而言具有一高的蝕刻選擇性。 58. 如請求項57之裝置,其包含:
其對於為形成該第二通道而自該第 ---退叫目 触ί丨掉的材料而言具有一高的餘 59_如請求項57之裝置,其包含: 擇 該光罩,其包含紹 組合 鎳 '或鉬中至少一者或 60.如請求項56之裝置,其包含: 該第—接觸結構,其具有—縫隙; =罩’其界定該第一通道之一寬度;及 6玄縫隙,其界定該第二通道之一 61·如請求項49之裝置,其中: 、又 ;且 —模組中之一 〇 該第一元件係一第一半導體裴置 6玄第二元件係第二半導體裝置及 62·如請求項49之裝置,其包含: 96914-100051 丨.d〇c 1359447 一形成於該第一接觸結構上之光罩材料。 63.如請求項49之裝置,其包含: 一形成於該第一接觸結構上之無電鍍層。
96914-1000511.doc -11 -
TW093132031A 2003-10-21 2004-10-21 Single mask via method and device TWI359447B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/688,910 US6867073B1 (en) 2003-10-21 2003-10-21 Single mask via method and device

Publications (2)

Publication Number Publication Date
TW200520050A TW200520050A (en) 2005-06-16
TWI359447B true TWI359447B (en) 2012-03-01

Family

ID=34274802

Family Applications (2)

Application Number Title Priority Date Filing Date
TW093132031A TWI359447B (en) 2003-10-21 2004-10-21 Single mask via method and device
TW100116774A TWI514442B (zh) 2003-10-21 2004-10-21 單一光罩通道之方法與裝置

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100116774A TWI514442B (zh) 2003-10-21 2004-10-21 單一光罩通道之方法與裝置

Country Status (9)

Country Link
US (3) US6867073B1 (zh)
EP (1) EP1678763A4 (zh)
JP (1) JP2007520054A (zh)
KR (1) KR101018419B1 (zh)
CN (1) CN101091243B (zh)
CA (1) CA2543100A1 (zh)
IL (3) IL175044A0 (zh)
TW (2) TWI359447B (zh)
WO (1) WO2005043584A2 (zh)

Families Citing this family (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
JP2006310454A (ja) * 2005-04-27 2006-11-09 Toshiba Corp 半導体装置およびその製造方法
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20070032044A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Method and structure for fabricating devices using one or more films provided by a layer transfer process and etch back
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20070190805A1 (en) * 2006-02-10 2007-08-16 Benjamin Szu-Min Lin Method for improving the alignment accuracy of semiconductor process and method of forming opening
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7378339B2 (en) 2006-03-30 2008-05-27 Freescale Semiconductor, Inc. Barrier for use in 3-D integration of circuits
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
CN101512721A (zh) 2006-04-05 2009-08-19 硅源公司 利用层转移工艺制造太阳能电池的方法和结构
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7494846B2 (en) * 2007-03-09 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Design techniques for stacking identical memory dies
JP5302522B2 (ja) * 2007-07-02 2013-10-02 スパンション エルエルシー 半導体装置及びその製造方法
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8273603B2 (en) 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
KR101903975B1 (ko) 2008-07-16 2018-10-04 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
JP4766143B2 (ja) * 2008-09-15 2011-09-07 株式会社デンソー 半導体装置およびその製造方法
US7863097B2 (en) * 2008-11-07 2011-01-04 Raytheon Company Method of preparing detectors for oxide bonding to readout integrated chips
DE102009004725A1 (de) * 2009-01-15 2010-07-29 Austriamicrosystems Ag Halbleiterschaltung mit Durchkontaktierung und Verfahren zur Herstellung vertikal integrierter Schaltungen
US8451012B2 (en) 2009-02-17 2013-05-28 International Business Machines Corporation Contact resistance test structure and method suitable for three-dimensional integrated circuits
US7902851B2 (en) 2009-06-10 2011-03-08 Medtronic, Inc. Hermeticity testing
US8172760B2 (en) 2009-06-18 2012-05-08 Medtronic, Inc. Medical device encapsulated within bonded dies
US8432467B2 (en) * 2009-07-24 2013-04-30 Raytheon Company Integrated detection and display imaging system and method
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
US8394718B1 (en) 2011-09-12 2013-03-12 International Business Machines Corporation Methods of forming self-aligned through silicon via
CN102364671B (zh) * 2011-11-03 2013-07-24 中国科学院微电子研究所 制造硅通孔的方法
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
CN104051423B (zh) * 2013-03-13 2018-02-16 台湾积体电路制造股份有限公司 互连装置和方法
US9536777B2 (en) 2013-03-13 2017-01-03 Taiwan Semiconductor Manufacutring Company, Ltd. Interconnect apparatus and method
US9245797B2 (en) 2013-08-19 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Opening fill process and structure formed thereby
US9293392B2 (en) 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
KR102136845B1 (ko) 2013-09-16 2020-07-23 삼성전자 주식회사 적층형 이미지 센서 및 그 제조방법
KR102177702B1 (ko) 2014-02-03 2020-11-11 삼성전자주식회사 비아 플러그를 갖는 비아 구조체 및 반도체 소자
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9666520B2 (en) 2014-04-30 2017-05-30 Taiwan Semiconductor Manufactuing Company, Ltd. 3D stacked-chip package
US9754918B2 (en) * 2014-05-09 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D chip-on-wafer-on-substrate structure with via last process
US9331021B2 (en) 2014-04-30 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-wafer package and method of forming same
US9395533B2 (en) 2014-09-30 2016-07-19 Pixtronix, Inc. Passivated microelectromechanical structures and methods
US9440848B2 (en) 2014-09-30 2016-09-13 Pixtronix, Inc. Passivated microelectromechanical structures and methods
US9666516B2 (en) 2014-12-01 2017-05-30 General Electric Company Electronic packages and methods of making and using the same
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9553017B2 (en) * 2015-01-23 2017-01-24 GlobalFoundries, Inc. Methods for fabricating integrated circuits including back-end-of-the-line interconnect structures
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US9673220B1 (en) 2016-03-09 2017-06-06 Globalfoundries Inc. Chip structures with distributed wiring
US10354975B2 (en) 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10923416B2 (en) * 2017-08-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with insulation layer and method of forming the same
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10403577B1 (en) * 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US10607922B1 (en) 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
KR20200110020A (ko) 2019-03-15 2020-09-23 삼성전자주식회사 디스플레이 드라이버 ic 소자
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11037822B2 (en) 2019-05-08 2021-06-15 International Business Machines Corporation Svia using a single damascene interconnect
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11056426B2 (en) 2019-05-29 2021-07-06 International Business Machines Corporation Metallization interconnect structure formation
US11152298B2 (en) 2019-06-04 2021-10-19 International Business Machines Corporation Metal via structure
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect
US11177171B2 (en) * 2019-10-01 2021-11-16 International Business Machines Corporation Encapsulated top via interconnects
US11244859B2 (en) 2019-10-10 2022-02-08 International Business Machines Corporation Interconnects having a via-to-line spacer for preventing short circuit events between a conductive via and an adjacent line
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
DE112022001206T5 (de) * 2021-02-25 2024-01-04 Sony Semiconductor Solutions Corporation Halbleitervorrichtung, Bildgebungsvorrichtung und Herstellungsverfahren

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2237929A (en) * 1989-10-23 1991-05-15 Philips Electronic Associated A method of manufacturing a semiconductor device
US5489554A (en) * 1992-07-21 1996-02-06 Hughes Aircraft Company Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
DE19516487C1 (de) * 1995-05-05 1996-07-25 Fraunhofer Ges Forschung Verfahren zur vertikalen Integration mikroelektronischer Systeme
JP2871636B2 (ja) * 1996-11-29 1999-03-17 日本電気株式会社 Lsiモジュールとその製造方法
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US7107666B2 (en) * 1998-07-23 2006-09-19 Bh Electronics Method of manufacturing an ultra-miniature magnetic device
US6515343B1 (en) * 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
TW473812B (en) * 1999-06-01 2002-01-21 Tokyo Electron Ltd Method of manufacturing semiconductor device and manufacturing apparatus
US6218203B1 (en) * 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
JP2001044357A (ja) * 1999-07-26 2001-02-16 Seiko Epson Corp 半導体装置およびその製造方法
JP3775129B2 (ja) * 1999-09-21 2006-05-17 セイコーエプソン株式会社 半導体チップの接続方法
US6593645B2 (en) * 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6417087B1 (en) * 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
JP3684978B2 (ja) * 2000-02-03 2005-08-17 セイコーエプソン株式会社 半導体装置およびその製造方法ならびに電子機器
JP2001250912A (ja) * 2000-03-07 2001-09-14 Seiko Epson Corp 半導体装置およびその製造方法ならびに電子機器
US6483044B1 (en) * 2000-08-23 2002-11-19 Micron Technology, Inc. Interconnecting substrates for electrical coupling of microelectronic components
JP2002110799A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
DE10131627B4 (de) * 2001-06-29 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichereinrichtung
DE10136716A1 (de) * 2001-07-27 2003-02-13 Infineon Technologies Ag DRAM-Speicherbauelement und Verfahren zum Herstellen eines DRAM-Speicherbauelements
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6720212B2 (en) * 2002-03-14 2004-04-13 Infineon Technologies Ag Method of eliminating back-end rerouting in ball grid array packaging
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US7115997B2 (en) * 2003-11-19 2006-10-03 International Business Machines Corporation Seedless wirebond pad plating

Also Published As

Publication number Publication date
TW201137940A (en) 2011-11-01
US20050181542A1 (en) 2005-08-18
IL198903A (en) 2011-09-27
TWI514442B (zh) 2015-12-21
TW200520050A (en) 2005-06-16
KR101018419B1 (ko) 2011-03-02
EP1678763A2 (en) 2006-07-12
IL206387A (en) 2017-01-31
JP2007520054A (ja) 2007-07-19
CA2543100A1 (en) 2005-05-12
US7714446B2 (en) 2010-05-11
US20080150153A1 (en) 2008-06-26
WO2005043584A2 (en) 2005-05-12
EP1678763A4 (en) 2009-12-09
KR20060126472A (ko) 2006-12-07
CN101091243A (zh) 2007-12-19
AU2004286545A1 (en) 2005-05-12
CN101091243B (zh) 2010-05-26
WO2005043584A3 (en) 2007-07-26
IL175044A0 (en) 2006-08-20
US6867073B1 (en) 2005-03-15
US7341938B2 (en) 2008-03-11

Similar Documents

Publication Publication Date Title
TWI359447B (en) Single mask via method and device
US11289372B2 (en) 3D IC method and device
US7898095B2 (en) Fiducial scheme adapted for stacked integrated circuits
JP4366510B2 (ja) 垂直型接続部を使用したチップおよびウェハ集積方法
US7642173B2 (en) Three-dimensional face-to-face integration assembly
CN105321903A (zh) 具有重分布线的堆叠集成电路
WO2002089197A1 (en) Method for bonding wafers to produce stacked integrated circuits
KR20200105995A (ko) 집적회로장치 및 집적회로 후면에 도전성 물질 형성 방법
US20170125341A1 (en) Integrated circuit structure and method of forming the same
US10134670B2 (en) Wafer with plated wires and method of fabricating same
KR102549864B1 (ko) Mems 디바이스를 위한 아치형 멤브레인 구조
US11488820B2 (en) Method of fabricating layered structure
AU2004286545B2 (en) Single mask via method and device
US20220359268A1 (en) Through wafer isolation element backside processing
JP2024523978A (ja) 静電容量を増大させる裏面フローティング・メタル
WO2023088849A2 (en) Bridge chip with through via