CN101091243B - 单掩模通孔的方法和装置 - Google Patents

单掩模通孔的方法和装置 Download PDF

Info

Publication number
CN101091243B
CN101091243B CN2004800355944A CN200480035594A CN101091243B CN 101091243 B CN101091243 B CN 101091243B CN 2004800355944 A CN2004800355944 A CN 2004800355944A CN 200480035594 A CN200480035594 A CN 200480035594A CN 101091243 B CN101091243 B CN 101091243B
Authority
CN
China
Prior art keywords
contact structures
mask
contact
etching
expose
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2004800355944A
Other languages
English (en)
Other versions
CN101091243A (zh
Inventor
保罗·M.·恩奎斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Evans Technology
Original Assignee
Ziptronix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ziptronix Inc filed Critical Ziptronix Inc
Publication of CN101091243A publication Critical patent/CN101091243A/zh
Application granted granted Critical
Publication of CN101091243B publication Critical patent/CN101091243B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种连接诸如半导体器件的元件的方法,和具有连接元件如半导体器件的装置。具有第一接触结构(12)的第一元件(11)被接合到具有第二接触结构(17)的第二元件(18)。单个掩模(40)被用来在第一元件中形成通孔(50),从而暴露第一接触会第二接触。第一接触结构被用作掩模从而暴露第二接触结构。连接元件(92)是与第一和第二接触结构接触形成的。第一接触结构可具有开口或间隙(60),第一和第二接触结构是通过它形成的。第一接触结构的后表面可通过蚀刻暴露。

Description

单掩模通孔的方法和装置
相关申请的交叉参考
本申请涉及序列号为09/532,886(现为美国专利No.6,500,794和10/011,432)的申请,其全部内容以参考方式并入此处。
技术领域
本发明涉及三维集成电路领域,并尤其涉及利用直接晶片接合的三维集成电路的制造。
背景技术
半导体集成电路(IC)通常被制造在硅晶片中和其表面,从而导致必须随IC尺寸增加的IC面积增加。缩减IC中晶体管尺寸的不断改进已经允许在给定IC面积内晶体管数目的显著增加,通常称为摩尔定律。然而,尽管晶体管密集度增加,但对于增加IC复杂度和功能性的不断需求已经导致IC芯片面积的继续增加。芯片面积的增加导致芯片产量减少,相应地增加了芯片成本。
IC制造的另一个趋势是增加单个IC中不同类型电路的数目,通常称作片上系统(SoC)。这种制造通常需要增加掩模层的数目以形成不同类型的电路,还需要增加IC面积以容纳数目增加的各种类型的电路。掩模层和IC面积的增加也导致产量的减少,并相应地增加了芯片成本。
一种避免这一不希望的产出率减少和成本增加的方案是垂直地堆叠并随后互连IC。这些IC可以是不同的尺寸,来自不同尺寸的晶片,包括不同的功能(即,模拟、数字、光学),由不同的材料制成(即,硅、砷化镓、磷化铟等)。在堆叠之前IC可被测试以允许结合“确好单元片”(KGD)被结合以增加获利产量。这一首先堆叠其次互连的方案的成功取决于堆叠和互连的产出率和成本与增加了IC面积或SoC的产出率和成本相比是否更具优势。实现这一方案的一般方法是用直接接合的方法堆叠IC和用传统的晶片薄化、光刻掩模、通孔蚀刻、以及互连金属化来互连IC。
该方案的互连部分的成本与蚀刻通孔和形成电气互连所要求的光刻掩模层的数目直接相关。因此使蚀刻通孔和形成电气互连所要求的光刻掩模层最小化是人们所期待的。
一种形式的垂直堆叠和互连是用在IC(在基底上)面对面或IC边对IC边的地方。此形式通常使用在单元片对晶片的形式中,在这里单元片被接合成IC侧朝下至IC侧朝上。在此形式里,接合之后单元片通常通过去除绝大部分基底而被显著薄化。一般而言,由于基底中晶体管的位置,所以单元片基底不能被完全去除。因此基底通常以可行的最大限度去除,留下足够的剩余基底以避免损害晶体管。然后对单元片IC的互连优选地通过蚀刻通孔而形成,该通孔穿过余下的衬底到达单元片IC中的互连位置,使得在此通孔附近没有需要的晶体管。为了实现最高的互连密度,更优选的是将这一通孔延续通过整个单元片-IC并进入晶片-IC直到晶片-IC中的互连位置。该通孔通常延伸通过绝缘电介质材料,该绝缘电介质材料提供与单元片IC和晶片IC中互连位置的希望的电绝缘。此通孔形成之后,通常需要互连单元片-IC中的互连位置和晶片-IC中的互连位置。这优选地用导电材料和通孔侧壁上的裸露基底之间的绝缘层上的导电材料实现,以避免出现导电材料和基底之间不希望的导电。
此结构的制作通常使用四个光刻掩模掩模层来形成。这些层是1)蚀穿基底的通孔,2)蚀穿单元片IC和晶片IC中绝缘电介质材料的通孔,此通孔使单元片IC和晶片IC中需要的导电材料暴露出来,3)蚀穿绝缘层的通孔,该绝缘层使互连单元片IC中互连位置和晶片IC中互连位置的导电材料与暴露基底通孔侧壁电气绝缘,该暴露基底通孔侧壁使单元片IC和晶片IC中所需的导电材料暴露,4)与单元片IC中暴露互连点和晶片IC中暴露的互连点之间的导电材料的互连。
限定蚀穿绝缘(介电)材料的通孔的图案通常比限定蚀穿基底的通孔图案小,以充分地暴露单元片IC和晶片IC中的互连点并避免去除掉基底通孔侧壁上的绝缘材料。由于在基底中的通孔形成以后方形成这些图案,所以该图案构图通常在比基底通孔的构图低的拓朴层面完成。这很小的特征导致了在非平面结构上图案,这限制了结构缩放到很小的特征尺寸,该很小的特征尺寸是实现最高互连密度和消耗最小可能的硅基底所必要的,功能晶体管无论如何就在这样的硅基底上。
因此期望有包括一定结构的装置和制造该结构的方法,该结构要求减少数量的掩模步骤,以及可在平面表面上实现的掩模步骤可在结构形貌的最高或其中一个最高层上实现。
发明内容
本发明涉及一种方法和装置,其中使用单个掩模步骤来蚀刻穿过第一装置中基底的通孔,以暴露第一和第二装置中的接触从而提供接触互连。
作为一个实例,单个掩蔽步骤可被用来蚀刻穿过基底剩余部分的通孔,蚀刻穿过将在两个独立并垂直堆叠的IC装置中的绝缘材料的导电材料暴露的通孔,用所需的绝缘材料来掩蔽所需的基底剩余暴露部分表面,并通过从所述的导电材料上去除所需的绝缘材料来暴露两个独立IC装置中的导电材料,而不会从所述所需的基底剩余暴露表面去除所需的绝缘材料。
本发明进一步涉及包括两个独立IC装置中的互连点之间的互连的方法和装置,此处掩模步骤不是在比单个掩模步骤更低的形貌层完成。
本发明的一个目的是蚀刻通孔通过不同材料,用单个掩模步骤在低于顶表面的至少两个不同形貌层上暴露导电材料。
本发明进一步的目的是掩模互连层,在两个表层下导电层之上的水平上互连两个表层下导电层。
本发明的另一个目的是避免在凹陷处对掩模构图。
本发明的另一个目的是最大化两个堆叠的IC之间的互连密度。
本发明的另一个目的是最小化用来构造两个堆叠IC之间的互连的基底数目。
这些目的和其它目的是通过具有第一接触结构的第一元件和具有第二接触结构的第二元件的装置实现的。第一元件和第二元件相接合。第一通孔在第一个元件中形成,并从第一元件背面延伸到第一接触结构。第二个通孔从第一个接触结构延伸到第二个接触结构并和第一个通孔相通。连接元件将第一个和第二个接触结构相连接。
这些和其它目的也通过互连接合到一起的第一个和第二个元件的方法来实现,使用一个掩模从而蚀刻第一元件并暴露第一元件中的第一元件,蚀穿第一元件和第二元件间的接合界面,并暴露第二个元件中的第二个接触结构,和连接第一和第二个接触结构。
附图说明
在结合附图考虑时,通过参考下面详细的描述,对本发明更完整的理解以及许多优点将很容易被掌握并能被更好地理解,其中:
图1是示出被面朝下地与面朝上的晶片相接合的单元片的图;
图2A是接合到基底的单元片的图;
图2B是接合到基底的单元片的图,该基底一部分去除了单元片;
图2C是接合到另一基底上的基底的图;
图3A示出在图2A所示结构之上的电介质膜和掩模层的形成;
图3B示出在形成平面化材料后电介质膜和掩模层的形成;
图4示出在图3A和图3B的电介质膜和掩模层中形成的孔径;
图5示出利用如图4所示形成的孔径进行单元片的蚀刻;
图6A是示出进一步蚀刻以暴露出单元片和晶片中的接触结构的图;
图6B是示出包括形成硬掩模的工艺改进的图;
图7A示出在形成保形的绝缘侧壁层之后图6A所示的结构截面图;
图7B是示出在硬掩模被去除之后实施例变体的图;
图8A是示出一个保形绝缘侧壁层的各向异性蚀刻的图;
图8B是示出在硬掩模被去除的实施例的变体的图;
图9A是示出形成含有金属晶种层和金属填料的金属接触的图;
图9B是去除了硬掩模的实施例的变体;
图9C是没有形成晶种层的实施例的变体;
图10A是图9A或图9B所示结构在化学机械抛光之后的图;
图10B是图9C所示结构在化学机械抛光之后的图;
图11是说明图10A所示结构的金属化的图;
图12是利用无电介质层介入的掩模层的第二实施例的图;
图13是示出在第二个实施例中形成金属接触的图;
图14是示出图13所示结构在化学机械抛光之后的图;
图15是说明本发明的另一个实施例的图;
图16A是说明接触结构位于其中一个器件表面的实施例的图;
图16B是图16A所示的结构经过进一步处理之后的图;
图17是示出利用依照本发明方法制造的带有图16A和图16B中所示结构的器件的图。
图18是本发明的另一个实施例的图;和
图19是示出利用依照本发明方法所制造的带有图18所示结构的器件的图。
具体实施方式
现在参考附图尤其是参考附图1,阐述根据本发明方法的第一实施例。这里需要注意的是附图不是按比例绘出的,而是为了阐述本发明的概念。
基底10包括带有接触结构12的器件区域11。基底10可由多种材料制成,例如半导体材料或绝缘材料,这取决于所需的应用。基底10通常用硅或者III-V材料制成。接触结构12通常是金属垫或与基底10上形成的器件或电路结构(未示出)接触的互连结构。基底10也可含有与接触结构12相连的集成电路,基底10也可以是只包含接触结构的模块。例如,基底10可以是用来互连接合在基底10上的结构的模块,或者是(例如在印制电路板上)用来针对封装或集成其它模块或电路结构的连接的模块。该模块可由譬如石英和陶瓷的绝缘材料制成。
位于表面13以便和基底10接合的是3个分离的单元片14-16。每一个单元片都含有基底部位19、器件区域18和接触结构17。该单元片可以预先通过切割等方式与另一个晶片分离。单元片14-16可由譬如半导体材料等多种材料制造,而这取决于所需的应用。通常,该基底可由硅或者III-V材料来制成。接触结构17通常是金属垫或是与器件区域18上形成的器件或电路结构相连的互连结构。垫12和垫17的每一尺寸可以改变。尺寸和相对尺寸取决于对准公差,电路设计参数或其它因素。垫的尺寸被图示出来以说明发明概念而非用于限制。器件区域18也可包括与接触结构17相连的集成电路。几乎所有的基底部位19可以去除,仅剩下器件层,电路或电路层。单元片14-16的基底在接合到所需厚度之后也可以被薄化。
单元片14-16可使用与晶片10相同的技术,或使用不同的技术。单元片14-16中的每一个单元片可以是相同或不同的器件或材料。单元片14-16中每一个都有在器件区域18中形成的导电结构17。结构17隔开设置从而在其间留下间隙,或者可以是带有开口的单一结构,开口可延伸跨过整个接触结构。换句话说,这个开口可以是在接触结构中的孔或者将接触结构一分为二。间隙或开口的尺寸将由用于特定接合技术的光刻基本规则来决定,即至少为最小宽度,该最小宽度使随后的接触连接结构12和17可以足够低的接触电阻可靠地形成。
另一个决定该间隙或开口的最佳尺寸的因素是由导电结构17和12之间垂直间隔给定的距离加导电结构17的厚度对间隙或开口的比率。这定义了随后将被在结构17和12之间形成以使结构17和12之间电气互连的通孔的纵横比。该垂直间隔对于氧化物对氧化物直接接合通常为1-5微米(如序列号为09/505,283的申请所述,该申请的内容以参考的方式引入此处),或者对于金属直接接合可能为0(如序列号10/359,608的申请所述,该申请的内容以参考的方式引入此处)。更进一步,导电结构17的厚度通常在0.5到5微米。通常所需的通孔纵横比在0.5到5,取决于所用的工艺技术,对于氧化物和氧化物接合来说间隙的尺寸典型范围在0.3-20微米,或者对于金属直接接合来说典型范围在约0.1-10微米。
单元片14-16一般以接触结构12对准,使得结构17和该间隙或开口被设置在对应的接触结构12之上。接触结构12的尺寸的选择使得单元片14-16简单地和结构17间的间隙对准。该尺寸取决于在基底10上设置单元片14-16所用方法的对准精度。利用商业上可用生产工具的典型方法允许在1到10微米范围的对准精度,虽然未来对于这些工具的改进可能会带来更高的准确度。间隙或开口外部的结构17的横向跨度优选至少是由该对准精度所给定的距离。
虽然为每个单元片14-16仅示出一组结构17,但是可以理解结构17的横向跨度通常比每个单元片14-16的横向跨度要小得多,使得每个单元片可含有几个或大量的结构17。例如,结构17的横向跨度可在1-100微米范围而单元片14-16的横向跨度可在1-100毫米范围。单元片14-16中的结构17的数量具有104的数量级,并且实际上可以实现得更高。
正如图2A所示,单元片14的表面20接合到基底10的表面13上。这可以由许多的方法来实现,但是优选使用序列号为09/505,283的申请中所述的接合方法在室温下进行接合。单元片14-16与基底10之间的接合在图2中示出。在接合之后单元片14-16的基底被薄化。薄化通常通过抛光、研磨、蚀刻或者三种技术结合的方法来实现,从而留下被薄化过的基底21或者全部去除基底部分19,图2B示出了基底部分19被完全或几乎完全地去除的实例。而且单元片14-16的基底也可在接合之前被薄化。
虽然在图2A中示出三个单元片被接合到单个基底10上,也可能接合更多或更少数量的单元片到基底10上。同时也可接合另一个尺寸与基底10相当的基底,这在图2C中示出,其中带有器件区域23的基底22接合到了晶片10上,使得隔离设置的导电结构24大致与导电结构12对准。基底22可在接合之前被薄化或去除从而便于对准。基底22可在接合之后被薄化,而且如果需要,基底22可以基本完全被去除。下面图中所描述的过程同样适用于图2B和图2C所示的结构,但是出于简明的目的单独的视图被略去。
如图3A所示,保形电介质膜30被设置在基底10和单元片14-16的表面13上。该膜可以由例如CVD、PVD、PECVD等形成,且优选地由氧化膜譬如硅氧化膜构成。同时,填料(譬如沉积的或旋涂的氧化物或如聚酰亚胺或苯并环丁烯的聚合物32)可形成在单元片14-16上和/或它们之间,如图3B所示。该处理中材料32可能形成在不同点处。图3B示出了在形成膜30和膜31之前材料32形成的例子。填料也可以在图3A所示结构形成之后,在形成掩模40后(图4)形成,或根据许多因素(如所选材料或温度考虑)在处理中的其它不同点处形成。具有平坦的表面可以改善该表面上形成光刻胶和其它膜,和在这些膜中形成开口,例如图4中所示的开口41。
随后,硬掩模31形成在电介质膜30上并成图案从而留下基本与结构17对准的开口41(图4)。硬掩模优选地由对随后的蚀刻工艺或用于蚀刻穿过薄化基底21和器件区域18和11至接触结构12的工艺具有高度蚀刻选择性的材料构成。硬掩模的例子是铝、钨、铂、镍、和钼,蚀刻工艺的例子是基于SF6的反应离子蚀刻,从而蚀刻出穿过被薄化了的硅基底的的通孔,和基于CF4的反应离子蚀刻以蚀刻出穿过器件区域18和11到接触结构12的后续开口。
开口41通过标准的光刻成图案和硬掩模31与电介质膜30的蚀刻技术形成。例如开口可以在光刻胶中用光刻形成。开口可以被对准以便与单元片14-16(或基底22)或基底10上的对准掩模对准。光学或IR成像可以用于对准。然后硬掩模31可以用适当的湿化学溶液或干法反应离子蚀刻来蚀刻,这取决于硬掩模材料,从而显露出开口中的电介质膜30。然后电介质膜30可以通过与硬掩模31相似的方式以合适的湿化学溶液或干法反应离子蚀刻来蚀刻,这取决于电介质膜的材料。如果硬掩模是铝,那么用于硬掩模的湿化学溶液的例子是铝刻蚀剂A型。如果电介质模材料是硅氧化物,那么用于介电膜材料的反应离子刻蚀的例子是基于CF4的反应离子蚀刻。对于这些或其它的硬掩模和电介质膜材料,也可以使用许多其它的干法和湿法蚀刻。如果开口和单元片14-16(或基底22)对准,则开口41的宽度优选比结构17之间的间距宽,或者如果此开口与较低的基底20对准,则优选比结构17之间的间距加用于在基底20上设置单元片14-16(或基底22)的方法的对准精度之和宽。
如图5所示,使用硬掩模40,单元片14-16的基底部分被蚀刻从而形成通孔50。蚀刻一直被延续通过包围导电结构12和17的材料以暴露出导电结构17的后面和侧面部分及导电结构12的上表面,导电结构12和17通常是电介质材料。第一组的气体和条件,例如基于SF6,可用来蚀穿单元片14-16的基底材料,第二组气体和条件,例如基于CF4,可用来蚀穿包围结构17的电介质层。这两种蚀刻可以通过适当地切换气体和条件来在同一个反应室进行而不需要破坏真空。图6A示出了用来暴露导电结构12的蚀刻。此蚀刻产生延伸通过导电结构17的间隙或开口到导电结构12的通孔部分60。
为暴露导电结构12和17的电介质层通孔蚀刻优选地对导电结构17具有高度的蚀刻选择性,从而避免对导电结构17造成有害量的蚀刻。但是,可能出现电介质通孔蚀刻和导电结构的某些组合,导致对导电结构17有害量的蚀刻。例如,有害作用可能当导电结构17充分薄时或当导体12和17之间的垂直距离充分大时发生。
有害量的蚀刻的例子是被硅氧化物包围的铝导电结构17和一些基于CF4的反应离子蚀刻的结合,其中铝导电结构蚀刻速率与硅氧化物电介质蚀刻速率之间的比率和导电结构17的厚度与导电结构12和17之间的硅氧化物电介质层的厚度之间的比率相当或者比后者高。
在其中可能出现对接触结构17的有害量的蚀刻的情形中,可以增加导电结构17的厚度,或者增加用来保护导电结构17免受电介质通孔刻蚀的中间步骤。如下所述中间工艺步骤可用来避免有害的刻蚀。当电介质蚀刻首次暴露出上方导电结构17的后面和侧面部分时,诸如金属材料的硬掩模可在连续的电介质蚀刻导致对导电结构17的有害蚀刻之前被有选择性地沉积在导电结构17的显露部分上。在选择性地沉积硬掩模之后,电介质蚀刻可以对导电结构17无有害蚀刻地继续进行。选择性的沉积硬掩模的例子是无电镀镍。例如这在图6B中示出,其中蚀刻在暴露出接触结构17之后并在任何显著的有害蚀刻发生之前停止。然后接触结构17涂覆保护性硬掩模61,例如使用诸如无电镀镀覆的镍。诸如镍的材料在随后的连接结构12和结构17中可以保留在器件中。可选地,如果需要,材料61可在形成连接结构12和17以前被去除。
要注意的是硬掩模61可被有选择地沉积在硬掩模40上。一个例子是当硬掩模40是导电的而且保护性硬掩模61的沉积由无电镀膜完成时。这对于减小硬掩模40要求的厚度是有利的。保护性硬掩模材料61在硬掩模40上沉积的进一步优势是对通孔50的孔径的限制,这导致掩蔽住接触结构17的一部分使其避免受到通孔60的各向异性蚀刻。图7A详细示出了元件14-16中的一个从而更清楚地示出随后的步骤。保形绝缘膜70在掩模40和导电结构12和17,和通孔50和60的侧壁上形成,部分地填充了通孔50和60。合适的绝缘膜的例子是硅氧化物,硅氮化物或者聚对二甲苯。绝缘膜可用多种典型沉积方法形成,包括但不局限于物理蒸汽沉积,化学蒸汽沉积以及汽相沉积。物理蒸汽沉积的例子是溅射,化学蒸汽沉积的例子是等离子增强化学蒸汽沉积,而汽相沉积的例子是固体蒸发,其后进行高温分解和沉积。
在保形绝缘膜70通过诸如蚀刻的方法形成之前掩模40或掩模40与电介质膜30可被去除。图7B示出了去除掩模40的情形。如果去除掩模40或去除掩模40与电介质膜30的蚀刻对于由通孔50和60暴露的材料是选择性的,那么蚀刻可在无掩模的情况下完成。如果此蚀刻对于由通孔50和60暴露的材料不是选择性,那么通孔50和60中面临蚀刻的材料可以被合适的材料掩蔽。例如,如果硬掩模40和接触结构12和17都是铝,那么通孔可被容易去除的旋涂粘性液态材料部分填充至一定深度,从而使接触结构12和17被覆盖。通过首先选择适当的旋涂膜厚度,通孔可以被旋涂粘性液态材料部分地填充,该旋涂膜厚度将合适地平面化由硬掩模40(通过该硬掩模40形成通孔50和60)形成的表面。该层膜厚度的应用将在通孔里面比在通孔外面产生更厚的膜厚度。然后整个表面的适当蚀刻将从硬掩模40的表面去除该材料,同时在通孔50和60中留下覆盖接触结构12和17的材料。容易去除的旋涂材料和适当的蚀刻的例子分别是光刻胶和O2等离子蚀刻。
保形膜70被各向异性蚀刻从而暴露结构12和17,同时在通孔50和60的侧壁上留下薄膜70。结构17的后表面优选地被暴露从而产生用来增加接触表面面积的凸起,导致降低接触电阻。优选的是超过1微米的典型凸起宽度从而最小化接触电阻,但该距离将基于器件和工艺参数的不同而不同。图8A和8B示出了被蚀刻的保形膜70,分别为在保形绝缘膜70形成以前不去除硬掩模40和去除硬掩模40。
在形成保形膜70之前掩模30和40可被去除。在此种情况下,在对保形膜层70蚀刻之后另一个绝缘层可被通过诸如氧化或沉积而在基底部分21(或部分21完全被去除的器件区域18)上形成。
接触结构17侧表面也可在各向异性蚀刻中被暴露,从而进一步地增大表面面积和降低接触电阻。这也在8A和8B中示出。然后通孔50和60可被进一步地或完全地被金属填充。用金属填充通孔50和60的方法包括但不局限于物理汽相沉积(PVD),化学汽相沉积(CVD)或者电镀。相对于PVD或CVD,电镀通常用来沉积更厚的膜,而且通常在沉积薄PVD或CVD晶种层之前进行。PVD的例子是溅射铝或铜,CVD例子是钨CVD,电镀的例子是铜,使用溅射的铜晶种层。
图9A示出了掩蔽电镀法的例子,在这里金属晶种层90首先被沉积在结构之上,形成对接触结构12和17的电气接触,然后利用诸如光刻胶91形成掩模。利用掩模91和对晶种层90的电气接触,金属接触92填充通孔50和60。在图9B中示出了结构,这里在保形绝缘膜70形成之前掩模40被去除,而图9C示出不使用晶种层的结构。然后,诸如化学机械抛光的抛光步骤可用来去除通孔50和60外面的金属接触92的超出部分。该抛光步骤也可用来去除单元片14-16暴露侧上的金属晶种层90。抛光可进一步去除单元片14-16暴露侧上的硬掩模40。如果硬掩模如同上面给出的铝那样是导电的,那么可去除硬掩模40可以是优选的,以便将这样形成的金属填充的通孔彼此电绝缘。抛光步骤可进一步去除保形电介质膜30,导致在单元片14-16的暴露侧上形成相当平坦的表面和平面金属结构100,如图10A和10B所示,其中图10B所示结构与图10A中所示结构不同,因为在用金属填充通孔之前没有使用晶种层。图10A和10B的结构对于随后的处理是适当的,随后的处理包括但不局限于基于光刻的互连布线或支持丝线接合或倒装封装的下突块金属化。该处理通常包括在单元片14-16的暴露侧上的电绝缘材料的形成,从而给互连布线或下突块金属化提供电绝缘。
图11示出了在CMP之后在单元片14-16上形成的诸如沉积的或旋涂的氧化物或聚合物的绝缘材料96,和在与金属结构100接触的材料96上形成的互连布线或下突块金属化95的例子。在形成材料96之前可使用另一种填料,如图3B所示。金属化可包括由绝缘层隔离的若干层(此处未示出),以适应高通孔密度和/或高布线复杂度。可选地,如果抛光步骤不可去除保形电介质膜30,则保形电介质膜保留且为金属化结构提供充分的电绝缘。
图12示出了根据本发明方法的第二个实施例。硬掩模101在无介入电介质层的单元片14-16上形成。硬掩模101由对随后的蚀刻工艺和用来蚀刻通过薄化的基底21和器件区域18和11直到接触结构12的通孔的工艺具有高蚀刻选择性的材料组成。硬掩模的例子是铝、钨、铂、镍或钼,而蚀刻工艺的例子是基于SF6的反应离子蚀刻以蚀刻出穿过薄化的硅基底的通孔,和基于CF4的反应离子蚀刻,其蚀刻出穿过器件区域18和11直到接触结构12的后续通孔。开口102在掩模101中形成,且该结构如同第一实施例中的处理,从而蚀刻穿过单元片基底和器件区域以暴露结构12和17,同时优选地暴露结构17的顶表面以形成凸起(如图8A和图8B中80所示)。如图7-9所示执行金属化,利用掩模103以形成金属接触104,从而产生图13所示的结构。CMP(图14)之后,该结构适合于随后的处理,包括但不局限于基于光刻的互连布线或支持丝焊或倒装封装的下突起金属化,如图11所示的金属化结构。该处理可包括在单元片14-16的暴露侧上的电绝缘材料的形成,从而提供对所述互连布线或分布于单元片14-16的暴露侧的下突起金属化的电绝缘。为了进一步辅助互连布线或下突起金属化,可形成诸如聚酰亚胺或苯并环丁烯材料的平面化材料,从而平面化该结构的表面,例如通过或在CPM之前或之后填充单元片、开口或沟槽之间的任何空间。
本发明也可用于其它结构。例如并不需要一对接触17,而只需单元片或晶片中的单个接触被连接到被接合的基底中的接触上。这在图15中示出,其中金属接触107将与接触结构12和108与和结构12偏移的结构108互连。掩模的第一边缘部分在结构108上形成,而第二边缘部分在结构12而非结构108上形成。接触107的一部分(左侧)从基底部分109的上表面直接延伸到结构108,而接触107的另一部分(右侧)从基底部分109的上表面直接延伸到结构12。
本发明具有很多优点。单个掩模被用来蚀穿接合到基底的单元片或晶片的背面,从而互连单元片或晶片与基底。通孔中不需要光刻,通孔通常是复杂的、有问题的和限制按比例缩放的。蚀刻一直进行通过接合界面。而且,可以暴露要被互连的接触的顶表面,增加接触的表面积并减少接触的电阻。不同技术的器件可被互连,优化器件性能并避免与试图用单一工艺序列来制造不同技术器件关联的问题。
图16A,16B和图17示出了更进一步的实施例。基底110有带接触结构112的器件区域111。如图16A所示,单元片114-116每个都具有基底部分121和接触117,这些单元片被接合到表面113上的基底110上。在该实施例中,没有覆盖接触结构112的材料。在为第一或第二个实施例所描述的单个掩模工艺之后,制造图16B和图17所示的结构。通孔50被蚀刻穿过基底118,从而暴露出接触结构117的平坦(后)表面。继续蚀刻从而暴露接触结构112的顶表面。带有或不带有晶种层90的接触120在通孔中形成,连接接触结构112和117。正如上面有关于图3B所讨论的,填料可用来平面化器件。
更进一步的实施例在图18-19中示出。在该实施例中,没有用来覆盖接触结构122或123的材料。在单元片114-116中的接触结构123可延伸超出单元片114-116的表面,而接触结构122可延伸超出表面113。正如序列号为10/359,608的申请所述,带有暴露金属的单元片114-116被接合到带有暴露金属的表面113上。单元片1114-116与基底110之间的接合弹性地在接触结构122和123之间产生出挤压力,从而使得结构122和123之间的接触的接触电阻充分地小。在为前面实施例描述的单个掩模工艺之后,图19所示结构被制造出来。
依据上述教导,本发明可有许多修改和变更。因此易于理解,在附加权利要求的范围内,本发明可以不同于此处具体描述的那样实施。

Claims (64)

1.一种互连接合到一起的第一和第二元件的方法,包括:
在所述第一元件的暴露侧上形成一个掩模;
使用所述一个掩模来蚀刻所述第一元件,并暴露第一接触结构,蚀刻通过所述第一元件和第二元件之间的接合界面,并暴露第二接触结构,所述第一接触结构在所述第一元件中,所述第二接触结构在所述第二元件中;和
连接所述第一和第二接触结构。
2.根据权利要求1中所述的方法,其中暴露所述第一接触结构包括:
暴露第一接触结构的平坦表面;和
连接所述平坦表面与所述第二接触结构。
3.根据权利要求2中所述的方法,包括:
暴露第一接触结构的侧表面。
4.根据权利要求2所述的方法,包括:
将所述第一接触结构形成为带有开口;以及
蚀刻通过所述开口以暴露所述第二接触结构。
5.根据权利要求2中所述的方法,包括:
将所述第一接触结构形成为至少一对接触元件,所述接触元件之间具有空间;以及
蚀刻通过所述空间以暴露所述第二接触结构。
6.根据权利要求1所述的方法,包括:
将第一接触结构形成为具有开口;和
蚀刻通过所述开口以暴露所述第二接触结构。
7.根据权利要求1所述的方法,包括:
将所述第一接触结构形成为至少一对接触结构,所述接触结构之间具有空间;和
蚀刻通过所述空间以暴露所述第二接触结构。
8.根据权利要求1所述的方法,包括:
在第一元件和第二元件接合之后去除所述第一元件的基底的至少一部分;以及
在所述去除步骤之后执行所述使用步骤。
9.根据权利要求1所述的方法,其中多个第一元件被接合到所述第二元件,所述的方法包括:
在每个所述第一元件的暴露侧上形成所述一个掩模;
使用所述一个掩模来蚀刻每个所述第一元件,从而暴露每个所述第一元件中的第一接触结构,蚀刻通过每个所述第一元件和所述第二元件之间的接合界面,并暴露所述第二元件中的多个第二接触结构;和
连接所述第一接触结构中的一个和所述第二接触结构中的一个。
10.根据权利要求9中所述的方法,包括:
在第一元件和第二元件接合之后去除每个所述第一元件的基底的至少一部分;和
在所述去除步骤之后执行所述使用所述一个掩模来蚀刻每个所述第一元件,从而暴露每个所述第一元件中的第一接触结构,蚀刻通过每个所述第一元件和所述第二元件之间的接合界面,并暴露所述第二元件中的多个第二接触结构的步骤。
11.根据权利要求1中所述的方法,其中所述连接步骤包括:
形成与所述第一和第二接触结构相接触的金属晶种层;以及在所
述金属晶种层上形成金属接触。
12.根据权利要求11所述的方法,包括:
在形成所述金属接触之后,化学机械抛光所述金属接触和所述第一元件。
13.根据权利要求1所述的方法,包括:
在所述第一元件上形成电介质层;
在所述电介质层上形成所述掩模。
14.根据权利要求1所述的方法,包括:
直接在所述第一元件的所述暴露侧上形成所述掩模。
15.根据权利要求1所述的方法,包括:
在所述第一元件的背面上形成所述掩模;
暴露所述第一接触结构的后表面;和
暴露所述第二接触结构的顶表面。
16.根据权利要求1所述的方法,包括:
在所述使用步骤期间在所述第一元件中形成通孔;
在所述通孔的侧壁上形成电介质层;以及
蚀刻所述电介质层以暴露所述第一和第二接触结构。
17.根据权利要求16所述的方法,包括:
在所述电介质层上形成金属晶种层并与所述第一和第二接触结构相接触;以及
在所述金属晶种层上形成金属接触。
18.根据权利要求1所述的方法,包括:
使用所述掩模在所述第一元件中形成第一通孔;以及
使用所述第一接触结构在所述第二元件中形成第二通孔。
19.根据权利要求1所述的方法,其中所述第一元件包括带有所述第一接触结构的第一器件,而所述第二元件包括带有所述第二接触结构的第二器件。
20.根据权利要求1所述的方法,其中所述第一元件包括带有所述第一接触结构的第一器件,而所述第二元件包括具有多个连接结构的模块,该连接结构包括所述第二接触结构。
21.根据权利要求1所述的方法,包括:
形成所述掩模从而在所述第一接触结构上形成边缘部分,并且在所述第二接触结构上而不在所述第一接触结构上形成第二边缘部分。
22.根据权利要求1所述的方法,包括:
接合所述第一元件至第二元件,使得所述第一接触结构和所述第二接触结构直接接触。
23.根据权利要求1所述的方法,其中多个第一元件被接合到所述第二元件,所述方法包括:
在每个所述第一元件的暴露侧上形成所述一个掩模;
使用所述一个掩模蚀刻每个所述第一元件以暴露每个所述第一元件中的第一接触结构,蚀刻通过每个所述第一元件和所述第二元件之间的接合界面,并暴露所述第二元件中的多个第二接触结构;和
连接所述第一接触结构中的一个和所述第二接触结构中的一个。
24.根据权利要求23所述的方法,包括:
连接多个第一接触结构至对应的多个第二接触结构。
25.根据权利要求1所述的方法,包括:
在暴露所述第一接触结构之后停止所述蚀刻;
在所述第一接触结构上形成掩模材料;以及
在上述的在所述第一接触结构上形成掩模材料的步骤之后,重新开始所述蚀刻以暴露所述第二接触结构。
26.根据权利要求25所述的方法,其中所述形成步骤包括以金属材料无电镀所述第一接触结构。
27.一种连接含有第一基底部分的第一器件到元件的方法,所述第一器件被接合到所述元件使得所述第一器件的所述基底部分被暴露,该方法包括:
在所述基底部分上形成第一掩模;
使用所述第一掩模蚀刻所述第一器件以在所述第一器件中暴露第一接触结构;以及
使用作为第二掩模的所述第一接触结构蚀刻以在所述元件中暴露第二接触结构;以及
连接所述第一和第二接触结构。
28.根据权利要求27所述的方法,其中暴露所述第一接触结构包括暴露所述第一接触结构的平坦表面,并且所述方法还包括将所述平坦表面连接到所述第二接触结构。
29.根据权利要求28所述的方法,包括:
暴露第一接触结构的侧表面。
30.根据权利要求28所述的方法,包括:
将所述第一接触结构形成为含有开口;以及
蚀刻通过所述开口以暴露所述第二接触结构。
31.根据权利要求28所述的方法,包括:
将所述第一接触结构形成为至少一对接触元件,所述接触元件之间具有空间;和
蚀刻通过所述空间以暴露所述第二接触结构。
32.根据权利要求27所述的方法,包括:
将所述第一接触结构形成为含有开口;以及
蚀刻通过所述开口以暴露所述第二接触结构。
33.根据权利要求27所述的方法,包括:
将所述第一接触结构形成为至少一对接触结构,该接触结构之间具有空间;和
蚀刻通过所述空间以暴露所述第二接触结构。
34.根据权利要求27所述的方法,包括:
在接合之后至少去除所述第一器件的基底的一部分;以及
在所述去除步骤之后执行所述使用所述第一掩模蚀刻所述第一器件的步骤。
35.根据权利要求27所述的方法,其中多个第一器件被接合到所述元件,所述方法包括:
在每个所述第一器件的暴露侧上形成所述第一掩模;
使用所述第一掩模蚀刻每个所述第一器件以暴露在每个所述第一器件中的第一接触结构,蚀刻通过每个所述第一器件和所述元件之间的接合界面,并暴露所述元件中的多个第二接触结构;和
连接所述第一接触结构中的一个和所述第二接触结构中的一个。
36.根据权利要求35所述的方法,包括:
在接合之后至少去除每个所述第一器件的基底的一部分;和
在所述去除步骤之后执行所述使用所述第一掩模蚀刻每个所述第一器件以暴露在每个所述第一器件中的第一接触结构,蚀刻通过每个所述第一器件和所述元件之间的接合界面,并暴露所述元件中的多个第二接触结构的步骤。
37.根据权利要求35所述的方法,包括:
将多个第一接触结构连接到相应的多个第二接触结构。
38.根据权利要求27所述的方法,其中所述连接步骤包括:
形成与所述第一和第二接触结构相接触的金属晶种层;和
在所述金属晶种层上形成金属接触。
39.根据权利要求38所述的方法,包括:
在形成所述金属接触后化学机械抛光所述第一器件。
40.根据权利要求27所述的方法,包括:
在所述第一器件上形成电介质层;
在所述电介质层上形成所述掩模。
41.根据权利要求27所述的方法,包括:
在所述第一器件的背面上形成所述掩模;
暴露所述第一接触结构的后表面;和
暴露所述第二接触结构的顶表面。
42.根据权利要求27所述的方法,包括:
在所述使用所述第一掩模蚀刻所述第一器件的步骤期间在所述第一器件中形成通孔;
在所述通孔侧壁上形成电介质层;和
蚀刻所述电介质层以暴露所述第一和第二接触结构。
43.根据权利要求42所述的方法,包括:
在蚀刻所述电介质层之后,在所述电介质层上形成金属晶种层并与所述第一和第二接触结构相接触;和
在所述金属晶种层上形成金属接触。
44.根据权利要求27所述的方法,包括:
用所述掩模在所述第一器件中形成第一通孔;和
用所述第一接触结构在所述元件中形成第二通孔。
45.根据权利要求27所述的方法,其中所述元件包括具有多个连接结构的模块,该连接结构包括所述第二接触结构。
46.根据权利要求27所述的方法,包括:
将所述第一器件接合到所述元件,使得所述第一接触结构直接与所述第二接触结构接触。
47.根据权利要求27所述的方法,包括:
在蚀刻所述第一器件以暴露所述第一接触结构之后;
在所述第一接触结构上形成掩模材料;和
在形成掩模材料的所述步骤后,使用所述第一接触结构为第二掩模蚀刻从而暴露所述元件中的第二接触结构。
48.根据权利要求47所述的方法,其中所述形成掩模材料的步骤包括用金属材料无电镀所述第一接触结构。
49.一种器件,包括:
具有第一接触结构的第一元件;
具有第二接触结构的第二元件;
所述第一元件被接合至所述第二元件;
第一通孔在所述第一元件中形成,并从所述第一元件的后表面延伸到所述第一接触结构;
第二通孔从所述第一接触结构延伸到所述第二接触结构并与所述第一通孔相连通;以及
连接至所述第一和第二接触结构的连接部件,其中第一接触结构和第二接触结构直接接触。
50.根据权利要求49所述的器件,包括:
所述第一接触结构具有连接到所述连接部件的平坦表面。
51.根据权利要求49所述的器件,包括:
所述第一接触结构具有平坦表面和连接到所述连接部件的侧表面。
52.根据权利要求49所述的器件,包括:
所述第一接触结构具有开口;和
所述连接部件延伸穿过所述开口直到第二接触结构。
53.根据权利要求49所述的器件,包括:
所述第一接触结构包括至少一对接触结构,该接触结构之间具有空间;和
所述连接部件延伸穿过所述空间直到所述第二接触结构。
54.根据权利要求49所述的器件,包括:
连接到所述连接部件的所述第一接触的后表面。
55.根据权利要求49所述的器件,包括:
在所述第一和第二通孔中的每一个的侧面上形成的电介质膜;和
所述连接部件包括在所述电介质膜上形成的第一金属层和在所述第一金属层上形成的第二金属层。
56.根据权利要求49所述的器件,包括:
在所述第一元件上形成的掩模。
57.根据权利要求56所述的器件,包括:
所述掩模对从所述第一元件上蚀刻的材料具有高度刻蚀选择性,以形成所述第一通孔。
58.根据权利要求57所述的器件,包括:
所述掩模对从所述第二元件上蚀刻的材料具有高度刻蚀选择性,以形成所述第二通孔。
59.根据权利要求57所述的器件,包括:
所述掩模至少包括铝、钨、铂、镍或钼中一种或其组合。
60.根据权利要求56所述的器件,包括:
所述第一接触结构具有开口;
所述掩模确定所述第一通孔宽度;
所述开口确定所述第二通孔宽度。
61.根据权利要求49所述的器件,其中:
所述第一元件是第一半导体器件;和
所述第二元件是第二半导体器件和模块中的一种。
62.根据权利要求49所述的器件,其中第一元件和第二元件的每一个具有基底。
63.根据权利要求49所述的器件,包括:
在所述第一接触结构上形成的掩模材料。
64.根据权利要求49所述的器件,包括:
在所述第一接触结构上形成的无电镀层。
CN2004800355944A 2003-10-21 2004-10-20 单掩模通孔的方法和装置 Active CN101091243B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/688,910 2003-10-21
US10/688,910 US6867073B1 (en) 2003-10-21 2003-10-21 Single mask via method and device
PCT/US2004/032312 WO2005043584A2 (en) 2003-10-21 2004-10-20 Single mask via method and device

Publications (2)

Publication Number Publication Date
CN101091243A CN101091243A (zh) 2007-12-19
CN101091243B true CN101091243B (zh) 2010-05-26

Family

ID=34274802

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800355944A Active CN101091243B (zh) 2003-10-21 2004-10-20 单掩模通孔的方法和装置

Country Status (9)

Country Link
US (3) US6867073B1 (zh)
EP (1) EP1678763A4 (zh)
JP (1) JP2007520054A (zh)
KR (1) KR101018419B1 (zh)
CN (1) CN101091243B (zh)
CA (1) CA2543100A1 (zh)
IL (3) IL175044A0 (zh)
TW (2) TWI359447B (zh)
WO (1) WO2005043584A2 (zh)

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
JP2006310454A (ja) * 2005-04-27 2006-11-09 Toshiba Corp 半導体装置およびその製造方法
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7166520B1 (en) * 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US20070032044A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Method and structure for fabricating devices using one or more films provided by a layer transfer process and etch back
US7485968B2 (en) * 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
US7863187B2 (en) * 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20070190805A1 (en) * 2006-02-10 2007-08-16 Benjamin Szu-Min Lin Method for improving the alignment accuracy of semiconductor process and method of forming opening
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7378339B2 (en) 2006-03-30 2008-05-27 Freescale Semiconductor, Inc. Barrier for use in 3-D integration of circuits
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
CN101512721A (zh) 2006-04-05 2009-08-19 硅源公司 利用层转移工艺制造太阳能电池的方法和结构
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7494846B2 (en) * 2007-03-09 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Design techniques for stacking identical memory dies
JP5302522B2 (ja) * 2007-07-02 2013-10-02 スパンション エルエルシー 半導体装置及びその製造方法
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) * 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8017451B2 (en) * 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
US8273603B2 (en) 2008-04-04 2012-09-25 The Charles Stark Draper Laboratory, Inc. Interposers, electronic modules, and methods for forming the same
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
JP4766143B2 (ja) * 2008-09-15 2011-09-07 株式会社デンソー 半導体装置およびその製造方法
US7863097B2 (en) * 2008-11-07 2011-01-04 Raytheon Company Method of preparing detectors for oxide bonding to readout integrated chips
DE102009004725A1 (de) * 2009-01-15 2010-07-29 Austriamicrosystems Ag Halbleiterschaltung mit Durchkontaktierung und Verfahren zur Herstellung vertikal integrierter Schaltungen
US8451012B2 (en) * 2009-02-17 2013-05-28 International Business Machines Corporation Contact resistance test structure and method suitable for three-dimensional integrated circuits
US8125058B2 (en) * 2009-06-10 2012-02-28 Medtronic, Inc. Faraday cage for circuitry using substrates
US8172760B2 (en) 2009-06-18 2012-05-08 Medtronic, Inc. Medical device encapsulated within bonded dies
US8432467B2 (en) * 2009-07-24 2013-04-30 Raytheon Company Integrated detection and display imaging system and method
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8666505B2 (en) 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8424388B2 (en) 2011-01-28 2013-04-23 Medtronic, Inc. Implantable capacitive pressure sensor apparatus and methods regarding same
US8394718B1 (en) 2011-09-12 2013-03-12 International Business Machines Corporation Methods of forming self-aligned through silicon via
CN102364671B (zh) * 2011-11-03 2013-07-24 中国科学院微电子研究所 制造硅通孔的方法
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9536777B2 (en) 2013-03-13 2017-01-03 Taiwan Semiconductor Manufacutring Company, Ltd. Interconnect apparatus and method
CN104051423B (zh) * 2013-03-13 2018-02-16 台湾积体电路制造股份有限公司 互连装置和方法
US9245797B2 (en) 2013-08-19 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Opening fill process and structure formed thereby
US9293392B2 (en) 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
KR102136845B1 (ko) 2013-09-16 2020-07-23 삼성전자 주식회사 적층형 이미지 센서 및 그 제조방법
KR102177702B1 (ko) 2014-02-03 2020-11-11 삼성전자주식회사 비아 플러그를 갖는 비아 구조체 및 반도체 소자
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9754918B2 (en) 2014-05-09 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D chip-on-wafer-on-substrate structure with via last process
US9331021B2 (en) 2014-04-30 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-wafer package and method of forming same
US9666520B2 (en) 2014-04-30 2017-05-30 Taiwan Semiconductor Manufactuing Company, Ltd. 3D stacked-chip package
US9395533B2 (en) 2014-09-30 2016-07-19 Pixtronix, Inc. Passivated microelectromechanical structures and methods
US9440848B2 (en) 2014-09-30 2016-09-13 Pixtronix, Inc. Passivated microelectromechanical structures and methods
US9666516B2 (en) 2014-12-01 2017-05-30 General Electric Company Electronic packages and methods of making and using the same
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9553017B2 (en) * 2015-01-23 2017-01-24 GlobalFoundries, Inc. Methods for fabricating integrated circuits including back-end-of-the-line interconnect structures
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US9673220B1 (en) 2016-03-09 2017-06-06 Globalfoundries Inc. Chip structures with distributed wiring
US10354975B2 (en) 2016-05-16 2019-07-16 Raytheon Company Barrier layer for interconnects in 3D integrated device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TW202414634A (zh) 2016-10-27 2024-04-01 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10923416B2 (en) 2017-08-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with insulation layer and method of forming the same
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10403577B1 (en) * 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN118448377A (zh) 2018-06-12 2024-08-06 隔热半导体粘合技术公司 堆叠微电子组件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US10607922B1 (en) 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
KR20200110020A (ko) 2019-03-15 2020-09-23 삼성전자주식회사 디스플레이 드라이버 ic 소자
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11037822B2 (en) 2019-05-08 2021-06-15 International Business Machines Corporation Svia using a single damascene interconnect
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11056426B2 (en) 2019-05-29 2021-07-06 International Business Machines Corporation Metallization interconnect structure formation
US11152298B2 (en) 2019-06-04 2021-10-19 International Business Machines Corporation Metal via structure
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect
US11177171B2 (en) * 2019-10-01 2021-11-16 International Business Machines Corporation Encapsulated top via interconnects
US11244859B2 (en) 2019-10-10 2022-02-08 International Business Machines Corporation Interconnects having a via-to-line spacer for preventing short circuit events between a conductive via and an adjacent line
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN116783696A (zh) * 2021-02-25 2023-09-19 索尼半导体解决方案公司 半导体装置、成像装置及制造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6417087B1 (en) * 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2237929A (en) * 1989-10-23 1991-05-15 Philips Electronic Associated A method of manufacturing a semiconductor device
US5489554A (en) * 1992-07-21 1996-02-06 Hughes Aircraft Company Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
DE19516487C1 (de) * 1995-05-05 1996-07-25 Fraunhofer Ges Forschung Verfahren zur vertikalen Integration mikroelektronischer Systeme
JP2871636B2 (ja) * 1996-11-29 1999-03-17 日本電気株式会社 Lsiモジュールとその製造方法
US7107666B2 (en) * 1998-07-23 2006-09-19 Bh Electronics Method of manufacturing an ultra-miniature magnetic device
US6515343B1 (en) * 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
TW473812B (en) * 1999-06-01 2002-01-21 Tokyo Electron Ltd Method of manufacturing semiconductor device and manufacturing apparatus
US6218203B1 (en) * 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
JP2001044357A (ja) * 1999-07-26 2001-02-16 Seiko Epson Corp 半導体装置およびその製造方法
JP3775129B2 (ja) * 1999-09-21 2006-05-17 セイコーエプソン株式会社 半導体チップの接続方法
US6593645B2 (en) * 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
US6984571B1 (en) * 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
JP3684978B2 (ja) * 2000-02-03 2005-08-17 セイコーエプソン株式会社 半導体装置およびその製造方法ならびに電子機器
JP2001250912A (ja) * 2000-03-07 2001-09-14 Seiko Epson Corp 半導体装置およびその製造方法ならびに電子機器
US6483044B1 (en) * 2000-08-23 2002-11-19 Micron Technology, Inc. Interconnecting substrates for electrical coupling of microelectronic components
JP2002110799A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
DE10131627B4 (de) * 2001-06-29 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichereinrichtung
DE10136716A1 (de) * 2001-07-27 2003-02-13 Infineon Technologies Ag DRAM-Speicherbauelement und Verfahren zum Herstellen eines DRAM-Speicherbauelements
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6720212B2 (en) * 2002-03-14 2004-04-13 Infineon Technologies Ag Method of eliminating back-end rerouting in ball grid array packaging
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US7115997B2 (en) * 2003-11-19 2006-10-03 International Business Machines Corporation Seedless wirebond pad plating

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6417087B1 (en) * 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry

Also Published As

Publication number Publication date
US20050181542A1 (en) 2005-08-18
CN101091243A (zh) 2007-12-19
KR101018419B1 (ko) 2011-03-02
US6867073B1 (en) 2005-03-15
KR20060126472A (ko) 2006-12-07
EP1678763A2 (en) 2006-07-12
TW201137940A (en) 2011-11-01
IL206387A (en) 2017-01-31
TW200520050A (en) 2005-06-16
US7714446B2 (en) 2010-05-11
TWI514442B (zh) 2015-12-21
JP2007520054A (ja) 2007-07-19
AU2004286545A1 (en) 2005-05-12
US20080150153A1 (en) 2008-06-26
WO2005043584A2 (en) 2005-05-12
US7341938B2 (en) 2008-03-11
WO2005043584A3 (en) 2007-07-26
IL175044A0 (en) 2006-08-20
TWI359447B (en) 2012-03-01
CA2543100A1 (en) 2005-05-12
EP1678763A4 (en) 2009-12-09
IL198903A (en) 2011-09-27

Similar Documents

Publication Publication Date Title
CN101091243B (zh) 单掩模通孔的方法和装置
US6642081B1 (en) Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6943452B2 (en) Coaxial wiring within SOI semiconductor, PCB to system for high speed operation and signal quality
US4789648A (en) Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US6303272B1 (en) Process for self-alignment of sub-critical contacts to wiring
US20020163072A1 (en) Method for bonding wafers to produce stacked integrated circuits
KR101645825B1 (ko) 반도체 디바이스 및 그 제조 방법
US7427565B2 (en) Multi-step etch for metal bump formation
US6087251A (en) Method of fabricating a dual damascene structure
CN113363202B (zh) 半导体结构及其形成方法
US6359329B1 (en) Embedded wiring structure and method for forming the same
US6974770B2 (en) Self-aligned mask to reduce cell layout area
US5691239A (en) Method for fabricating an electrical connect above an integrated circuit
AU2004286545B2 (en) Single mask via method and device
KR0172726B1 (ko) 반도체 소자의 다층금속배선 형성방법
KR100372817B1 (ko) 반도체 소자의 금속 배선 콘택 방법
KR100269662B1 (ko) 반도체 장치의 도전체 플러그 형성 방법
KR960007642B1 (ko) 반도체 장치의 제조방법
KR20030049570A (ko) 반도체 소자의 금속배선 형성방법
KR20020086098A (ko) 다층 배선의 콘택 구조 및 그 형성 방법
KR20040061969A (ko) 반도체소자의 제조방법
KR20010003677A (ko) 반도체 소자의 다층 금속배선 형성방법
KR20040022625A (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: North Carolina

Patentee after: Evans Technology

Address before: North Carolina

Patentee before: Ziptronix Inc.