TWI344176B - Method for manufacturing a semiconductor component - Google Patents

Method for manufacturing a semiconductor component Download PDF

Info

Publication number
TWI344176B
TWI344176B TW095138701A TW95138701A TWI344176B TW I344176 B TWI344176 B TW I344176B TW 095138701 A TW095138701 A TW 095138701A TW 95138701 A TW95138701 A TW 95138701A TW I344176 B TWI344176 B TW I344176B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric material
dielectric
mask
pillars
Prior art date
Application number
TW095138701A
Other languages
English (en)
Other versions
TW200729320A (en
Inventor
Kelley Kyle Higgins
Joseph William Wiseman
Original Assignee
Spansion Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Spansion Llc filed Critical Spansion Llc
Publication of TW200729320A publication Critical patent/TW200729320A/zh
Application granted granted Critical
Publication of TWI344176B publication Critical patent/TWI344176B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

1344176 九、發明說明: 【發明所屬之技術領域】 ’且尤關於用於半導 大體而言本發明有關半導體組件 體組件之遮罩層(maski ng layer) 〇 【先前技術】 、在降低半導體組件的製造成本之同時,半導體組件製 7商正不斷地努力提高其產品的效能。因為諸如微處理器 # /、記憶體元件之半導體組件可容納數百萬個電晶體或裝 置故為了提南效能及降低製造成本其重點係已將該等電 晶體的尺寸予以縮小而構成該等半導體組件。如熟習該技 •蟄者所知悉,典型的半導體製造之製程流程包含:光微影 -(Ph〇t〇lithograPhy)、蝕刻(etching)及材料沈積 ' (聊terial deposi ti〇n)等一系列的步驟。然而,隨著該等 半導體裝置的體積繼續減小,因為在光阻劑(phGtGresist) =解析度與㈣能力的限制而逐漸變得愈難以使用光學微 •影(〇ptiCaI lith〇graphy)之製程技術。由於較新的光阻劑 之低選擇性(poor selectivity)及其無法承受電聚钱刻製 程(Piasma etch process)的測試而使得這些問題進一 雜化。 炎 因此,具有半導體裝置及使用光微影以將該半導體裝 置的尺寸予以減小的方法係有利的。而用於具成#時間 的效率且相容於半導體組件製程之結構及方法則具有進— 步的效益。 【發明内容】 9376] 5 1344176 * 本發明係藉由提供用於製造半導體組件之方法而滿足 前述之需求。根據一個實施例,本發明包含一種方法,包 括提供基板(substrate)及形成第一介電材料(dielectric material)層於該基板上。從第一介電材料層形成至少一個 介電柱狀物(dielectric post)。硬遮罩材料(hard mask matenal)係形成鄰近於該至少一個介電柱狀物,其中該硬 遮覃材料不同於該第一介電材料層。將該至少一個介電柱 _狀物的部分予以去除。 根據另一實施例,本發明包含一種用於製造半導體組 件之方法,包括從介電材料層形成一個或多個柱狀物,其 •中該一個或多個柱狀物中之各柱狀物具有側壁 -(sldewall)。鄰近於該一個或多個柱狀物中之至少一者係 形成有材料。將該至少一個或多個柱狀物的部分予以去除。 根據另一貝知例,本發明包含一種用於製造半導體組 件之方法,包括提供介電材料及從該介電材料形成犧牲性 .遮罩結構(sacrificial mask structure)。絕緣材料係形 成鄰近於該犠牲性遮罩結構以電性導電材料取代該犧牲遮 罩結構。 " 【實施方式】 大體而言,本發明係提供具有一個或多個適用於蝕刻 徵之半導體組件及提供用於製造該半導體組件之方法。根 據本發明之一個態樣,一個或多個柱狀物係從介電材料層 而形成。而鄰近於並於該一個或多個柱狀物上則形成不同 93761 6 1344176
electrical interconnect)或其類似物等之用。 第1圖係依擄本發明之實施例在製造期間丰導體細杜
一’, ' π肌π且i4±,即匕花.閘極(gate)結構 18、源極(source)區域20、源極延伸區域2卜汲極(drain) 區域22以及汲極延伸區域23之絕緣閘極場效電晶體(gate field effect transistor)。閘極結構 18 具有側壁 24、 側壁26及上表面(top surface) 28,並包括置於主表面16 上之閘極介電質32上的閘極電極30。間隔件(spacer)36 與間隔件38係分別鄰近於側壁24與側壁26。源極區域2〇 從側面與間隔件36相鄰之主表面16的部分而延伸至半導 體基板1 2中’而汲極區域22則從側面與間隔件38相鄰之 主表面1 6的部分而延伸至半導體基板丨2中。源極延伸區 93761 7 1344176 j
域二丨:側面與側壁24相鄰之主表面i6的部分而延伸至半 中’而汲極延伸區域23則從側面與側壁26 才"之“16的部分而延伸至半導體基板12中。雖缺 圖中未標示’半導體褒置14可具有源極與汲極之晕環’、 (hal〇)區域。該源極與錄之區域與該源極與㈣之暈環 區域可為對稱式或麵稱式,換言之,可以有源極延伸區 域而沒有汲極延伸區域或反之亦然;或者可以有源極之暈 環區域而沒有汲極之暈環區域或反之亦然。石夕化層 (sill cide layer) 34係從部分之閘極電極3〇而形成,矽 化層37則從部分之源極區域2〇而形成,而矽化層⑽從部 刀之/及極區域22而形成。石夕化層34、石夕化層37及石夕化層 39亦稱之為矽化區域。應理解的是,半導體裝置14係並
不侷限為絕緣閘極場效電晶體而可為任何的主動元件,舉 例來祝,諸如互補式(c〇mplementary)絕緣閘極場效電晶 體、雙載子接面電晶體(bip〇iar junction transis1:〇r)、 接面場效電晶體或其類似物等,而且可為任何的被動元 件,舉例來說,諸如電阻、電容、電感或其類似物等。同 樣地,半導體基板12的材料並不侷限於本發明。半導體基 板12了為石夕、,纟巴緣層上覆半導體(semic〇nduct〇r_〇n_
Insulator ’ SOI)、藍寶石上覆半導體(Semic〇nduct〇r_ 〇n Sapphire,SOS)、石夕鍺(si 1 icon germanium)、錯、石夕 基板上所形成之矽晶層(epitaxial layer silic〇n)或 其類似物等。此外’半導體基板12可由化合物半導體材料 °者如石申化鎵(gal lium-arsenide)、填化銦(indium- 8 93761 似物等所組成。用以製造半導體裝置14 電晶體的技術係為熟習該技藝者所知 钊面42且厚度範圍從大約4000埃(Angstrom,A ) 4 1GGGG ^的介電材料4Q係形成於半導體基板^、
半V體裝置14及淺溝渠隔離結構15上。適用於介電層4。 的材料包括二高介電常數(高〇之無機介電材料,舉例來 說諸如一氧化石夕、氮化石夕或其類似物等;有機高《的介 電材料,舉例來說’諸如氫化氧化⑪碳(hydrGgenated • di zed si 1 i con carbon)的材料或其類似物等;有機低 介電常數(低/c )的介電材料,舉例來說,諸如聚酿亞胺 (polyiraide) $疋塗式聚合物(spin-on polymers)、聚(伸 芳基醚)(PAE,p〇ly(aryiene ether))、聚對二曱苯 (parylene)、乾凝膠(xerogel)、氟化芳香醚(flu〇rinated aromatic ether,FLARE)、氟化聚醯亞胺(fiuorinated
Phosphide)或其類 諸如絕緣閘極場效 悉0 polyimide,FPI)、緊密的 SiLK、有孔的 SiLK(p-SiLK) ' 1四氟乙稀(卩〇1丫七6'^8;(:11101'061:1^16116)、苯環丁稀 (^6112(^7(:1〇1)1^61^,806)或其類似物等;以及無機低^:的 介電材料,舉例來說,諸如氫倍半矽氧烷(hydrogeI1 silsesquioxane ’ HSQ)、甲基倍半矽氧烷(methyl silsesquioxane,MSQ)、氟化玻璃(fluorinatedglass)、 奈米玻璃(NANOGLASS)或其類似物等。應理解的是,用於介 電層40之介電材料的類型係不侷限於本發明且可使用其 它有機及無機的介電材料。同樣地,用以形成介電層4 0 9 93761 1344176 ••之方法並不侷限於本發明。舉例來說,絕緣層4〇可使用旋 塗式塗佈(spin-on coating)、化學氣相沉積(Chemical Vapor DeposΠion,CVD)、電槳輔助化學氣相沈積(piasma
Enhanced Chemical Vapor Deposition,PECVD)或物理氣 相沉積(Physical Vapor Deposition,PVD)等製程技術而 形成。 將介電層40予以平坦化則光阻劑層44即形成於上。 修較佳地,該光阻劑層44係為負型(negat丨ve t〇ne)光阻劑。 使用負型光阻劑的優點係可以接觸柱狀物(c〇ntact ) 取代接觸孔(contact holes)之造影(imaging)。 . 芩閱第2圖,將光阻劑層44曝光於紫外線(UV)而進行 *顯影(developed)。就負型光阻劑而言,那些未曝光在紫外 線之部分的光阻劑係溶解於顯影劑(deve丨〇per)中並於該 顯影製程(development process)期間予以去除。因而,光 阻劑層44之曝光與顯影使該光阻劑層扨之部分46遺留於 •介電層4G之上並留下開孔47。部分46及開孔47係配合 以形成蝕刻遮罩48。部分46即保護(protect)其在該處上 所形成之s亥介電層4 〇的區域。 芩閱第3圖,較佳地係使用例如非等向性 (aniSotropically)反應性離子蝕刻(reactive ion etching )而對未受蝕刻遮罩48的部分46所保護之該介電 層40之曝光的部分進行非等向性蝕刻。或者,可使用濕式 蝕刻(wet Chemicai etch)而對該介電層4〇之曝光的 4刀進仃等向性(isotr〇pical ly)蝕刻。根據一個實施例, 10 1344176 ••該非等向性反應性離子蝕刻係為計時(t i m e d)蝕刻,該蝕刻 形成從表面42而延伸大约500埃到大約2〇〇〇埃至介電層 40中之溝渠49。換言之,該非等向性蝕刻從介電層4〇形 成複數個柱狀物或柱狀體(piUars)5〇,其中溝渠49係於 柱狀物50之間或與柱狀物5〇相鄰。依據一個實施例,複 數個柱狀物50的柱狀物50A形成於閘極結構】8之上,而 複數個柱狀物50的柱狀物50B形成於源極區域20之上, _且複數個柱狀物50的柱狀物50C形成於沒極區域22之 上。終止該蝕刻製程而留下介電層4〇之部分於矽化層以、 石夕化層37及石夕化層39之上’該等石夕化層係提供閘極結構 ' 源極區域20與汲極區域22之間的電性隔離。應理解 .的是,為求清晰而使字母A、β和C附加於該參考字元5〇 以讖別該複數個柱狀物5 〇之特定的柱狀物。 芩閱第4圖,非晶矽(am〇rph〇us以丨丨⑺…層52係形 成於介7層40上,換言之,非晶矽層52形成於柱狀物π •上且不是全部填滿溝渠49就是部分填滿溝渠初。非晶矽 52係作為硬遮罩。較佳地,非晶矽層52的厚度大於形成 柱狀物50期間被去除之介電層4〇的厚度。甚至更佳地, 非晶石夕層52的厚度比形成柱狀物50期間被去除該部分之 介電層40的厚度至少大二倍。經由範例,於表面a之上 之部分&的非晶矽層52的厚度其範圍從大約1〇〇〇埃到大約 4000 &。應注意的是,層52之材料的類型並不偈限為非 晶石夕。選擇用於層52的材料係具有不同於介電層的材 料之姓刻速率。舉例來說,若介電層40為二氧化秒,_ 93761 1344176 .•層52可為氮化妙。其它適用於層52的材料包括:碎化鶴 (tungsten siIicon)、氮化鈦(titanium nitride)或其類 似物等。當介電層40為低介電常數(低凡)的介電材料時 層 52 可為氧氮化矽(silicon oxynitride)。 ,· 參閱第5圖,係使用例如對介電層4 〇具有高選擇性之 :化學機械研磨(Chemical Mechanical P〇iishing,CMp)的 技術而將非晶矽層52予以平坦化。因而,在介電層4〇上 鲁停止該平坦化的處理而形成平坦的表面54。特別是,該平 坦化的處理在介電層4〇所形成的柱狀物5〇上停止。進行 平坦化後,非晶矽層52之部分56餘留在溝渠49中。如同 •熟習該技藝者所知悉,化學機械研磨亦稱之為化學機械平 -坦化(Chemical Mechanical Planarizati〇n)。其它適合的 平;L·化技術包括.電拋光(electr〇p〇lishing)、電化學拋 光(electrochemical polishing)、化學拋光(chemical pol ishing)及化學輔助平坦化(chemicai enhanced _ planarization)等技術。
參閱第6圖,使用乾式姓刻(dry etch),舉例來說, 例如反應性離子蝕刻,將柱狀物5GA、柱狀物5QB及柱狀 物50C等柱狀物予以去除。去除柱狀物5〇A、柱狀物5〇B 及柱狀物50C等柱狀物而可形成接觸開孔58A、接觸開孔 58B及接觸開孔58C’該等接觸開孔係分別暴露出閘極電極 18、源極區域20及汲極區域22等之矽化層34、矽化層37 及石夕化層39。接觸開孔58A具有側壁59A與底板61A,而 接觸開孔58B具有側壁59B與底板61B,且接觸開孔58C 93761 1344176 具有側壁59C與底板61C。根據一個實施例,矽化層34、 矽化層37及矽化層39分別作為底板61A、底板61β及底 板 61C。 參閱第7圖’具有厚度範圍從大約2〇〇埃至大約 / 埃之氮化鈦層60係形成於非晶矽層56上且分別形成於開 孔58A、開孔58B及開孔58C之側壁59A、側壁59B及側壁 59C上。而使用化學氣相沉積(CVD)、電槳輔助化學氣相沈 籲積(PECVD)、賤鑛(SpUttering)、蒸鍵(evaporation)或其 類似的製程技術%可形成氮化鈦層6 〇。較佳地,氮化鈦層 60係保形地(conformally)沉積於非晶矽層56上,並沉積 1於側壁59A、側壁59B及側壁59C上以形成阻障内襯 • (barri er_l ined)的開孔。氮化鈦層6〇係作為阻障層以防 止來自後續的沉積金屬擴散至介電層4〇、非晶矽層56及 半導體裝置14。此外’氮化鈦層60提供低接觸電阻至矽 化層34、矽化層37及矽化層39。其它適用於阻障層 籲的材料包括:鈦(titanium,Ti)、鈕(tantalum,Ta)、氮 化组(TaN)、鈕和氮化钽的混合物,而其中該氮化鈕夾於該 纽與該開孔58A、開孔58B及開孔58C的表面之間;鎢 (tungsten ’ W)、氮化鎢(WN)、氮化矽鈦(TiSiN)以及諸如 耐火的金屬氮化物(metal nitride)、耐火的金屬碳化物 (metal carbide)、或耐火的金屬硼化物(metal b〇Hde) 等耐火金屬化合物。 電性導電材料(舉例來說’例如鎢)所組成之薄膜或層 62係形成於阻障層6〇上且較佳地將開孔58A、開孔58b 9376] 13 1344176 …及開孔58C予以填滿’從而形成充滿金屬之阻障内襯的開 孔。或者,電性導電材料層62可為銅(c〇卯。 參閱第8圖,係使用例如對介電層4〇具有高選擇性之 化學機械研磨的技術而將鎢層62予以平坦化以形成平坦 •的表面57。因而,該平坦化技術係去除了非晶矽層%。進 -行平坦化後’阻障層60之部> 64及銅薄膜62之部分Μ 餘留在開孔58A中以形成接觸件67,而阻障層6〇之部分 鲁68及銅薄膜62之部分7〇餘留在開孔咖中以形成接觸件 71,且阻障層60之部分72及銅薄膜62之部分74餘留在 開孔58C中以形成接觸件75(開孔⑽、開孔58β及開孔 ’ 58C係顯示在第6圖中)。其它適合的平坦化技術包括:電 •拋光、電化學拋光、化學拋光及化學輔助平坦化等技術。 迄此可了解本發明係已提供具有柱狀物或突出物 (protrwion)之半導體組件以及用以製造該半導體組件之 方法較佳地,在藉由將負型光阻劑予以圖案化 » (Patterning)於該介電材料上並去除該介電材料之部分後 而形成該等柱狀物。然而’諸如正型光阻劑及介電材料等 的遮罩材料亦可用以形成該等柱狀物或遮罩結構。而使用 負型光阻劑的優點可達成接觸柱狀物取代接觸孔之造影。 口此大部分的光阻劑係曝光於紫外線而留下光阻劑於該 等柱狀物即將形成之部分的介電材料之上。此方式使光;: 劑圖案之清晰度(definitlon)更加鮮明並使具有較小特徵 尺寸之半‘體組件得以形成。由於該光阻劑圖案之清晰度 更加鮮明,故降低了該半導體組件的製造成本。更甚者了 93761 14 1344176 .•以5午少1权流私包括單層鑲嵌(single damascene)製程技 術與雙層鑲嵌(dual damascene)製程技術而使該方法可據 以實施,換言之,依據本發明係亦可形成溝渠與引洞(via)。 儘官於此係已揭示某些較佳的實施例及方法,從前述 ,揭示對热習戎技蟄者而言,在不悖離本發明之精神和範圍 .下進行此等貫施例及方法之諸多變更與修改將變得明顯。 係可預期本發明應僅侷限於後附加之申請專利範圍所請求 的範圍及其可應用的法律之規章與原則。 【圖式簡單說明】 本發明係將可從閱讀以下結合附圖之詳細的說明而更 ,加瞭解,其中類似的元件符號表示類似的元件,且其中: ' 第1圖係依據本發明之實施例於製造期間半導體組件 之側面剖視圖; ^ 第2圖係在後續的製造階段之第〗圖之半導體組件之 側面剖視圖; # * 3圖係在後續的製㈣段之第2圖之半導體組件之 側面剖視圖; 第4圖係在後續的製造階段之第3圖之半導體組件之 側面剖視圖; 第5圖係在後續的製造階段之第4圖之半導體組件之 側面剖視圖; 第6圖係在後續的製造階段之第5圖之半導體組件之 側面剖視圖; 第7圖係在後續的製造階段之第6圖之半導體組件之 93761 15 1344176 側面剖視圖;以及 第8圖係在後續的製造階段之第7圖之半導體組件之 側面剖視圖。 【主要元件符號說明】 10 半導體組件 12 半導體基板 14 半導體裝置 15 淺溝渠隔離結構 16 主表面 18 閘極結構 ^ 20 源極區域 21 源極延伸區域 22 汲極區域 23 沒極延伸區域 24 側壁 26 側壁 ^ 28 上表面 30 閘極電極 ,32 閘極介電質 34 石夕化層 36 間隔件 37 矽化層 38 間隔件 39 石夕化層 40 介電層、絕緣層 42 表面 • 44 光阻劑層 46 部分 47 開孔 48 姓刻遮罩 49 溝渠 50 柱狀物或柱狀體(犧牲性遮罩結構) 50Α 柱狀物 50Β 柱狀物 50C 柱狀物 52 非日日石夕層(電性絕緣材料) 54 平坦的表面 56 非晶秒層 57 平坦的表面 58Α 開孔 93761 1344176 • 58B 開孔 58C 開孔 59A 側壁 59B 側壁 59C 側壁 60 阻障層(電性導電材料) 61A 底板 61B 底板 61C 底板 62 薄膜或層 64 部分 66 部分 67 接觸件 68 部分 70 部分 71 接觸件 72 部分 ^ 74 部分 75 接觸件

Claims (1)

  1. 第95138701號專利申請索 100年3月24日修正替梅苜 附件^ 、申請專利範圍: Λ n * 齑〇月3日9修手替換頁 一種用於製造半導體組件(10)之方法., 步驟: 提供基板(12); 形成第一介電材料層(4〇)於該基板(丨2)上; 去除該第一介電材料層(4〇)之至少一部份,藉此從 5亥第一介電材料層(40)形成至少一個介電柱狀物 (50)、以及高度小於該介電柱狀物(5〇)之高度的至少一 個姓刻部分; 形成位於該至少一個蝕刻部分上而鄰近於該至少 一個介電柱狀物(5〇)的硬遮罩材料(52),其中該硬遮罩 材料(52)不同於該第一介電材料層(4〇);以及 藉由將該硬遮罩材料(52)做為遮罩,將該至少一個 介電柱狀物(50)的一部分予以去除。 如申请專利範圍第1項之方法,其中去除該第一介電材 料層(40)之至少一部份包含: 將於該第一介電材料層(40)上之負型光阻劑層(44) 予以圖案化以形成蝕刻遮罩(48),該蝕刻遮罩(48)保護 δ亥第一介電材料層(40)的至少一個部分,該至少一個部 分作為該至少一個介電柱狀物(5〇),且其中該蝕刻遮罩 (48)留下該第一介電材料層(40)的至少一個部分未被 保護;以及 對未被該银刻遮罩(48)保護之該第一介電材料層 (40)的該至少一個部分進行蝕刻以形成該至少一個介 18 93761修正版 1344176 第9513870〗號專利申請案 】〇〇年3月24日修正替槌百 電柱狀物(50)及該至少一個蝕刻部分。替換頁 3.如申請專利範圍第}項之方法,其中,形成該‘遮^罩材 料(5 2 )包含: 將該硬遮罩材料(5 2 )置於該至少一個介電柱狀物 (50)及該至少一個蝕刻部分之上,其中該第一介電材料 層(40)為氧化物而該硬遮罩材料(52)係選自於包括非 晶矽、氮化矽、氧氮化矽以及矽化鎢之硬遮罩材料群組 之硬遮罩材料;以及 將該硬遮罩材料(52)予以平坦化,其中該至少一個 J電柱狀物(50)具有第一蝕刻選擇性而該硬遮罩材料 (52)具有第二蝕刻選擇性。 4. 一種用於製造半導體組件(1〇)之方法,該方法包括下列 步驟: 去除介電材料層(4〇)之至少一部份,藉此從該介電 材料層(40)形成一個或多個柱狀物(5〇)、以及高度小於 該柱狀物(50)之高度的至少一個蝕刻部分,該一個或多 個柱狀物(50)中之各柱狀物具有側壁; 形成位於至少一個該蝕刻部分上而鄰近於該一個 或多個柱狀物(50)中之至少一者的材料(52);以及 藉由將該材料(52)做為遮罩,將該一個或多個柱狀 物(50)中之至少一者的部分予以去除。 5.如申請專利範圍第4項之方法,其中去除介電材料層 (40)之至少一部份包含: 形成钮刻遮罩(4δ)於該介電材料層(40)之第-部 93761修正版 19 1344176 分之上;以及 第95138701號專利申請案 月24日修正替 二:’: foo月 51曰#〒 將該介電材料層(40)之第二部分予 :替換頁; 6. 材料層(40)之該第二部分未被該蝕刻遮罩(48)保護。 如申請專利範圍第5項之方法,其中形成位於至少一個 該钱刻部分上而鄰近於該一個或多個柱狀物(5〇)中之
    該至少一者的該材料(52)包含設置選自於包括非晶 矽、氮化矽、二氧化矽、氧氮化矽以及矽化鎢之材料群 組之材料。 7. 如申請專利範圍第6項之方法,復包含: 將鄰近於該一個或多個柱狀物(5〇)中之該至少一 者的該材料(52)予以平坦化; 將該至少一個或多個枉狀物(5〇)的部分予以去除 以形成開孔(58A、58B、58C);以及 形成電性導電材料(6〇、62)於該開孔(58A、58B、 58C)中。 8. 如申請專利範圍第5項之方法,其中形成該蝕刻遮罩 (48)包括從光阻劑形成該蝕刻遮罩(48)。 9. 一種用於製造半導體組件(1 〇)之方法,該方法包括下列 步驟: 提供介電材料(40); 去除該介電材料層(4〇)之至少一部份,藉此從該介 電材料(40)形成犧牲性遮罩結構(5〇)、以及高度小於該 犧牲性遮罩結構(5 〇)之高度的姓刻部分; 形成位於該蝕刻部分上而鄰近於該犧牲性遮罩結 20 93761修正版 1344176 m第9513870】號專利申請案 12^ 3 月 24 日 可 以電性導電材料⑽、62),取代該 構(50)之絕緣材料(52);以及 (50) 10.如申請專利範圍第9項之方法,其中去除該介電材料層 (40)之至少一部份包含: 形成負型光阻劑層(44)於該介電材料(4〇)上; 形成至少一個開孔(4Ό於該負型光阻劑層(44) 中,該至少一個開孔(47)露出該介電材料(4〇)之部分; 以及 將該介電材料(40)之該露出部分之部分予以蝕刻 以形成該蝕刻部分於該介電材料(40)中; 其中,形成絕緣材料(52)係包含: 形成非晶碎層(52)於該蝕刻部分上;以及 將該非晶矽層(52)予以平坦化。 93761修正版 21
TW095138701A 2005-10-31 2006-10-20 Method for manufacturing a semiconductor component TWI344176B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/264,194 US7244660B2 (en) 2005-10-31 2005-10-31 Method for manufacturing a semiconductor component

Publications (2)

Publication Number Publication Date
TW200729320A TW200729320A (en) 2007-08-01
TWI344176B true TWI344176B (en) 2011-06-21

Family

ID=37913135

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095138701A TWI344176B (en) 2005-10-31 2006-10-20 Method for manufacturing a semiconductor component

Country Status (6)

Country Link
US (1) US7244660B2 (zh)
JP (1) JP5313679B2 (zh)
KR (1) KR100940605B1 (zh)
CN (1) CN101300668B (zh)
TW (1) TWI344176B (zh)
WO (1) WO2007055843A2 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8674422B2 (en) * 2012-01-30 2014-03-18 Synopsys, Inc. Asymmetric dense floating gate nonvolatile memory with decoupled capacitor
US8853761B2 (en) * 2012-01-30 2014-10-07 Synopsys, Inc. Asymmetric dense floating gate nonvolatile memory with decoupled capacitor
CN103855023A (zh) 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法及半导体器件
US9450381B1 (en) * 2015-03-19 2016-09-20 International Business Machines Corporation Monolithic integrated photonics with lateral bipolar and BiCMOS
CN109545676B (zh) * 2018-11-22 2021-06-15 上海华力集成电路制造有限公司 半导体器件栅极高度平坦化方法
US11921325B2 (en) * 2020-02-27 2024-03-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970007174B1 (ko) * 1994-07-07 1997-05-03 현대전자산업 주식회사 반도체 소자의 금속배선 형성방법
US5569355A (en) * 1995-01-11 1996-10-29 Center For Advanced Fiberoptic Applications Method for fabrication of microchannel electron multipliers
KR0179289B1 (ko) * 1996-04-12 1999-04-15 문정환 금속배선 형성방법
JPH11204506A (ja) * 1998-01-19 1999-07-30 Mitsubishi Electric Corp 回路パターンが形成されたウェハおよびその製造方法
US6004863A (en) * 1998-05-06 1999-12-21 Taiwan Semiconductor Manufacturing Company Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer
US6268264B1 (en) * 1998-12-04 2001-07-31 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
JP2000307001A (ja) * 1999-04-22 2000-11-02 Sony Corp 半導体装置の製造方法
US6171929B1 (en) * 1999-06-22 2001-01-09 Vanguard International Semiconductor Corporation Shallow trench isolator via non-critical chemical mechanical polishing
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US6734088B1 (en) 2000-09-14 2004-05-11 Advanced Micro Devices, Inc. Control of two-step gate etch process
US6281063B1 (en) * 2000-10-17 2001-08-28 United Microelectronics Corp. Method for manufacturing trench isolation
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6803178B1 (en) 2001-06-25 2004-10-12 Advanced Micro Devices, Inc. Two mask photoresist exposure pattern for dense and isolated regions
US7122903B2 (en) * 2003-10-21 2006-10-17 Sharp Kabushiki Kaisha Contact plug processing and a contact plug
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
EP1794099A4 (en) * 2004-09-21 2008-12-17 Molecular Imprints Inc METHOD FOR FORMING STRUCTURE IN SITU RETRIEVAL

Also Published As

Publication number Publication date
KR100940605B1 (ko) 2010-02-05
JP5313679B2 (ja) 2013-10-09
CN101300668B (zh) 2011-06-15
WO2007055843A3 (en) 2007-07-05
CN101300668A (zh) 2008-11-05
US20070099430A1 (en) 2007-05-03
WO2007055843A2 (en) 2007-05-18
TW200729320A (en) 2007-08-01
US7244660B2 (en) 2007-07-17
JP2009514213A (ja) 2009-04-02
KR20080059623A (ko) 2008-06-30

Similar Documents

Publication Publication Date Title
TWI316731B (en) Method for fabricating semiconductor device and semiconductor device
TW541657B (en) Semiconductor device and its fabrication method
US20150054122A1 (en) Method for forming self-aligned airgap interconnect structures
EP1333483A1 (en) Method of etching dual damascene structure
US20140127901A1 (en) Low-k damage free integration scheme for copper interconnects
KR100843138B1 (ko) 이중 다마신 공정을 이용한 저유전율 물질층 내에 콘택구조 형성 방법
US10777735B2 (en) Contact via structures
TWI344176B (en) Method for manufacturing a semiconductor component
JP2003197738A (ja) 半導体装置のマスク層および二重ダマシーン相互接続構造
JP2005340808A (ja) 半導体装置のバリア構造
US8866297B2 (en) Air-gap formation in interconnect structures
TW200527164A (en) Structure comprising tunable anti-reflective coating and method of forming thereof
JP2004508712A (ja) 多孔性誘電性層及びエアギャップを有する半導体装置の製造方法
US9666476B2 (en) Dimension-controlled via formation processing
US8324061B2 (en) Method for manufacturing semiconductor device
US20070141829A1 (en) Semiconductive device fabricated using subliming materials to form interlevel dielectrics
TW200937604A (en) Semiconductor device and method of manufacturing the same
JP2003179136A (ja) デュアルダマシン半導体製造のためのマスク層及び相互接続構造
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
KR20020010650A (ko) 전자 디바이스 제조 방법
US20070072334A1 (en) Semiconductor fabrication process employing spacer defined vias
US9691654B1 (en) Methods and devices for back end of line via formation
US20080217730A1 (en) Methods of forming gas dielectric and related structure
TWI260068B (en) Methods for forming semiconductor device and interconnect
US8664743B1 (en) Air-gap formation in interconnect structures