TWI328618B - High-throughput hdp-cvd processes for advanced gapfill applications - Google Patents

High-throughput hdp-cvd processes for advanced gapfill applications Download PDF

Info

Publication number
TWI328618B
TWI328618B TW094141171A TW94141171A TWI328618B TW I328618 B TWI328618 B TW I328618B TW 094141171 A TW094141171 A TW 094141171A TW 94141171 A TW94141171 A TW 94141171A TW I328618 B TWI328618 B TW I328618B
Authority
TW
Taiwan
Prior art keywords
deposition
gas
substrate
stream
sputtering
Prior art date
Application number
TW094141171A
Other languages
English (en)
Other versions
TW200624589A (en
Inventor
Bo Qi
Young S Lee
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200624589A publication Critical patent/TW200624589A/zh
Application granted granted Critical
Publication of TWI328618B publication Critical patent/TWI328618B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)

Description

,618 狄、發明說明: 【發明所屬之技術領域】 本發明係關於半導體技術,更明確而言’係關於半導 趙製程之沈積處理。 【先前技術】 在半導體科技的發展中所面對的持續挑戰之一為想要 在基材上增加電路元件與互連線的密度又不會在它們之間 U起寄生的(spurious)交互作用。所不想要的交互作用典楚 地係藉由提供填入了電性絕緣的物質之縫隙或溝渠來將電 路元件物理地及電性地隔絕。然而,隨著電路密度的提高’ 這些縫隙的寬度亦隨之縮小,而使深寬比變大’這使得填 充這些縫隙且不留下空隙(V〇id)變得更為困難。當縫隙沒 有被完全地填滿時就會形成空隙,這是所不想要的,因為 空隙會對於製成的裝置的操作造成不利的影響’因為空隙 會將雜質包陷於該絕緣物質内。 使用在缝隙填充應用中的一般技術為化學氣相沉積 (“CVD”)技術。傳統的熱CVD製程提供反應性氣體至基材 表面’熱誘發之化學反應會在該處發生,用以產生所想要 的膜層。電漿強化的CVD(“PECVD”)技術藉由施加射頻(RF) 能量至靠近該基材表面的反應區並產生電漿而來提升該等 反應性氣趙的激勵及/或解離。在電漿中之高反應性的物質 將發生化學反應所需之能量降低’因此與傳統的熱CVD製 程比較起來,降低了 CVD製程所需的溫度。這些好處可藉 1328618 由高密度電漿(“hdp”)cvd技術而被進一步加大,在該 HDP CVD技術中,一緻密的電漿在低真空壓力下被形成, 使得該等電漿物質變得更有反應性。雖然這些技術都落 在’’CVD技術”的範圍下,但它們仍具有讓它們或多或少地 適合某些特定的應用的特徵與特性。
HDP-CVD系統形成一電漿,它的密度至少是一標準的 電容耦合的電漿CVD系統所產生的密度的兩倍大以上。 HDP-CVD系統包括電感耦合的電漿系統及電子迴旋共振 (ECR)電漿系統。HDP-CVD系統大體上是在比低密度電漿 系統還低的壓力範圍下作業的。使用在HDP-CVD系統中 之低的室壓提供具有長的平均自由徑的活性物質及縮小的 角度分布。這些因子再加上電漿密度,提供一大數量來自 該電漿的成分到達距離很小的縫隙的最深的部分,與低密 度電漿CVD系統中所沉積的薄膜比較起來,其可提供具有 改良的縫隙填出性之薄膜。
讓HDP-CVD技術沉積的薄膜能夠具有改良的縫隙填 充性的另一項因子為,與膜層沉積同步之高密度電漿在濺 鍍上的提升。HDP沉積處理的濺鍍成分減慢在某些特徵結 構上的沉積,如在凸起來的表面的角落處’進而提供以HDP 沉積的膜層在缝隙填充能力上的提高。某些HDP-CVD系 統將氬氣或類似之重的鈍氣引入,用以進一步提升激鍵效 果。這些HDP-CVD系統典型地使用一設在該基材支撐托 架内的電極,它可產生電場來將電聚朝向該基材偏斜。該 電場可在整個HDP沉積處理期間都被施加,用以進一步提 6 1328618
升濺鍍並提供較佳的縫隙填充特性給一已知的膜層。 最初被認為的是,因為同步的沉積/濺鍍本質,所 HDP-CVD製程能夠填充在任何應用中產生的縫隙或 渠。然而,半導體製造商已經發現HDP-CVD製程對於 特定的深寬比極限之缝隙是無法填充的。例如,一經常 用來沉積氧化矽縫隙填充膜層的HDP-CVD製程從一包 矽烷SiH4,氧分子02,及氬氣Ar的處理氣體形成電漿 有報告指出,當此一處理被用來填充某些窄寬度的高深 比的縫隙時,在處理氣體中的氬氣所造成的濺鍍會阻礙 隙填充的工作。詳言之,被處理氣體中的氬氣所濺鍍的 質再沉積到待填的縫隙的側壁的上部上的速率大於沉積 底部的速率。如果上部區域的再生長在該縫隙被完全填 之前即會合的話,這會造成一縫隙内空隙的形成。 第1圖提供氧化矽膜層在不同的沉積階段的示意剖 圖,用以顯示與某些 CVD製程相關之潛在的縫隙填充 制。此縫隙填充問題以稍微誇大的形式被顯示,用以更 地顯示此問題。第1圖的上部顯示一開始的結構1〇4’ 此結構中,一縫隙1 2 0是由兩個具有水平表面1 2 2之相 的特徵結構1 2 4及1 2 8所界定在該缝隙的底部處的水平 面被標記為132。如在結構108(即此圖從上往下算的第 部分)中所示的,一傳統的HDP-CVD氧化矽沉積處理的 果為,直接沉積在該缝隙120的底部的水平表面132上 在特徵結構124及128的水平表面122上。然而,因為 它成長時它會與從氧化矽膜層被濺鍍的物質再結合,所 以 溝 被 括 〇 寬 缝 物 到 滿 面 限 佳 在 鄰 表 結 及 在 以 7 1328618 該傳 缝隙 中, 136, 彼此 結構 空隙 統的處理亦會造成間接地沉積(被稱為”再沉 1 20的側壁1 40上。在某些小寬度,高深寬 持續成長的氧化矽膜層會在側壁1 4 0的上部 它會以一超過該膜層在側壁底部橫向成長的 成長。此趨勢被示於結構108及112中,其 116的結果,並在該膜層内形成一空隙144 的可能性與再沉積的速率及特性係極為直接; 因此,在此技術中對於改進縫隙填充技術仍 積”)於該 比的應用 形成結構 速率朝向 最終形成 。形成一 Μ關的。 存在著需
【發 化矽 域兩 的沉 較低 用一 於沉 該縫 些處 於一 形成 氧氣 明内容】 本發明的實施例提供沉積一可改善缝隙填充 膜層的方法。某些實施例特別適合具有緻密 者的基材,且一開始用來自一 HDP-CVD製 積供應(contribution)來填充一部分的縫隙, 的沉積供應來填充其餘部分的縫隙。其它的 以氫為基礎的電漿之意想不到的化學效果來 積階段之間的物質,用以在一空隙形成之前 隙。在某些例子中,這些實施例與利用兩種 理重疊。 因此,在第一組實施例中,提供一種沉積氧 放置在基材處理室内之基材上的方法。該基 在相鄰之凸起的表面之間的縫隙。一含矽氣 體,及一流動的(f 1 u e n t)氣體流入到該基材處 能力之氧 及開放區 程之較高 接著用一 實施例利 去除掉介 重新打開 態樣的某 化矽膜層 材具有一 體,一含 理室内。 1328618
該流動的氣體具有小於 5amu.的平均分子重 度電漿由該含矽氣體,該含氧氣體,及該流I 以藉一第一沉積處理沉積該氧化矽膜的一第 材上及該縫隙内,該第一沉積處理具有同步 成分,它們具有由第一沉積/濺鍍比例所界定 第二高密度電漿由該含矽氣體,該含氧氣體 氣體形成,以藉一第二沉積處理沉積該氧化 部分於該基材上及該縫隙内,該第二沉積處 沉積及濺鍍成分,它們具有由第二沉積/濺鍍 相對供應。該第二沉積/濺鍍比例小於該第· 例。該第一及第二沉積/濺鍍比例中的每一者 率與毯覆濺鍍率的總和對毯覆濺鍍率的比例 在某些實施例中,該第一沉積/濺鍍比例 之間。而且在某些實施例中,第二沉積/濺鍍 該第二高密度電漿可在不熄滅第一高密度電 處理條件來形成。該流動的氣體可包含分子i 大於5 0 0 s c c m的流率被流入到該基材處理室 施例中,該流動的氣體包含氦H e。該含矽氣 烷SiH4及該含氧氣體可包含分子氧02。在 該氧化矽膜層的第一部分將該缝隙的深度以 以減少。在某些例子中,該縫隙可包含複數 之凸起來的表面之間的缝隙,其中這些缝隙 的寬度是這些缝隙中的第二缝隙的寬度的至 在第二組實施例中,亦提供一種沉積氧 量。第一高密 >的氣體形成, 一部分於該基 的沉積及濺鍍 之相對供應。 ,及該流動的 矽膜的一第二 理具有同步的 比例所界定之 -沉積/藏銀比 都是由淨沉積 所界定的。 介於20至100 比例小於1 0。 漿下藉由改變 I Η 2且可以一 内。在另一實 體可包含單矽 一實施例中, 小於5 0 %來加 個形成在相鄰 中的第一縫隙 少5倍。 化矽膜層於一 9 1328618
放置在基材處理室内之基材上的方法。該基材具有一形成 在相鄰之凸起的表面之間的縫隙。一第一氣體的混合物流 被提供給該基材處理室。該第一氣體的混合物包含一含矽 氣體流,一含氧氣體流,及一流動的氣體流。一第一高密 度電漿從該第一氣體的混合物形成,以藉一第一沉積處理 將該氧化矽膜層的第一部分沉積於該基材上及該缝隙内, 該第一沉積處理具有同步的沉積及濺鍍成分。該氧化矽的 第一部分被曝露在一第二高密度電漿中,該第二高密度電 漿是用一氣體流形成的,該氣體流具有小於 5 am u.的平均 分子重量且包括一分子氫H2流。之後,提供一第二氣體的 混合物流至該基材處理室。該第二氣體的混合物流包含一 含矽氣體流,一含氧氣體流,及一流動的氣體流。一第三 高密度電漿從該第二氣體的混合物形成,以藉一第二沉積 處理將該氧化矽膜層的第二部分沉積於該基材上及該縫隙 内,該第二沉積處理具有同步的沉積及濺鍍成分。
在某些實施例中,該第二高密度電漿是用一主要由分 子氫H2的氣體流形成的。該第一部分可藉由停止包含在該 第一氣體的混合物流内之含矽的氣體流及該含氧的氣體流 而被曝露在該第二高密度電漿_。此外,該第二氣體的混 合物流可藉由重新開始該被停止的含矽的氣體流及該含氧 的氣體流而提供。在一些實施例中,分子氫H2的流率是以 大約500seem的流率提供的,而在其它的實施例中則是以 大於lOOOseem的流率提供的。 該處理可重復地循環。例如,在一實施例中,該氧化 10 1328618 矽膜層的第二部分被曝露於一第四高密度電漿申,該第四 • 高密度電漿是用一氣體流形成的,該氣體流具有小於5amu 的平均分子重量且包括一分子氫流。之後,一第三氣體的 ·_ 混合物流被提供至該基材處理室。該第三氣體的混合物流 包含一含<5夕氣體流,一含氧氣體流,及一流動的氣體流。 ' 一第五高密度電漿從該第三氣體的混合物形成,以藉一第 三沉積處理將該氧化矽膜層的第三部分沉積於該基材上及 該缝隙内,該第三沉積處理具有同步的沉積及濺鍍成分。 φ 此外,相對的沉積及濺鍍供應在不同的沉積期間可被 改變。例如,該第一沉積處理可包含由一介於 20至 100 之間的第一沉積/濺鍍比例所界定的相對沉積及濺鍍供 應,及該第二沉積處理可包含由一低於10的第二沉積/濺 ' 鍍比例所界定的相對沉積及濺鍍供應。在另一例子中,該 . 第一沉積處理包含一第一部分,其具有由一介於20至100 之間的第一沉積/濺鍍比例所界定的相對沉積及濺鍍供 應,其後接著的是一第二部分,其包含由一低於10的第二 沉積/濺鍍比例所界定的相對沉積及濺鍍供應。同樣的,該 ® 第二沉積處理包含一第一部分,其具有由一介於20至100 . 之間的第一沉積/濺鍍比例所界定的相對沉積及濺鍍供 應,其後接著的是一第二部分,其包含由一低於10的第二 沉積/濺鍍比例所界定的相對沉積及濺鍍供應。 對於本發明的本直只及優點的瞭解可藉由參照說明書 的其它部分及圖式來獲得。 11 1328618 【實施方式】 本發明的實施例係有關於使用高密度當漿 CVD製程 來沉積氧化矽層來填充在一基材的表面上之縫隙的方法。 依據本發明的技術沉積之氧化矽膜層具有絕佳的縫隙填充 能力且能夠填充在淺溝渠絕緣(“SIT”)結構中所遇到的縫 隙。以本發明的方法所沉積的膜層因而適合使用在各種積 體電路的製造中。
.可依據本發明的實施例來填充之結構的種類被示於第 2圖中,該圖提供一已部分完成之積體電路200的簡化剖 面圖。此積體電路是被形成在一包括複數個STI結構的基 材2 04上,每一 STI結構典型地都是藉由形成一薄的氮氧 化物層220於基材204的表面上,然後形成一氮化矽層2 1 6 於該氮氧化物層 2 2 0。該等氮化物及氧化物層然後使用標 準的微影成像技術來將其形成圖樣且溝渠224被蝕刻穿過 該氮化物/氧化物堆而進入到該基材2 04。第2圖顯示,該 積體電路包含一其内密集地形成有電晶體或其它主動元件 的區域 2 0 8,及包含相對孤立的開闊區域 2 1 2。在開闊區 212内的主動元件彼此分隔開的距離是在該緻密區域 208 内的分隔距離的一倍以上,但在本文中所使用之”開闊區域 (open area)”一詞被認為是在此區域内的縫隙的寬度為在” 敏密區域(dense area)”内的缝隙的寬度的至少5倍以上。 本發明的實施例提供用一具有良好缝隙填充特性之沉 積處理以電絕緣物質,如二氧化矽,來填充溝渠224的方 法。本發明之不同的實施例更適合填充在開闊區域内的缝 12 1328618
隙,而本發明之其它實施例則特別適合在緻密區域中之高 深寬比的縫隙。在某些例子中,下文中所描述的方法所提 供之縫隙填充特性在緻密及開闊區域中都具有良好的縫隙 填充能力,讓這些技術對於某些應用而言是特別有價值。 在某些例子中,在縫隙填充處理之前,一最初的襯裡層被 沉積在該基材上作為一原地(in situ)流產生器(“IS SG”)或 其它熱氧化物層,或一氮化矽層。在填充該溝渠224之前 沉積此一襯裡層的一個好處為,可提供適當的角落圓角 化,這有助於避免像是在電晶體中之早期閘極損壞等結 果。此外,此襯裡有助於在CVD沉積之後釋放應力。
在本文中所用之一高密度電漿處理為一電漿 CVD製 程,其包括同步的沉積及濺鍍成分且使用離子密度在1011 離子/cm3或更大的電漿。該高密度電漿之聯合的沉積及濺 鍍特徵的相對程度與用來提供氣體的混合物的流率,被施 加來保持該電漿之源極電力等級,施加至基材的偏壓電 力,等等因子有關。這些因子的組合可用”沉積/濺鍍比例” 來加以量化,有時候其以D/S來標記,用以將該製程特徵 化為: D二(淨沈積速率)+ (毯覆濺鍍速率) s"= (毯覆濺鍍速率) 該沉積/濺鍍比例隨著沉積的增加而變大且隨著濺鍍的增 加而減小。如在D/S的定義中所界定的,”淨沉積速率”係 指當沉積與濺鍍同時發生時所測得之沉積速率。該”毯覆濺 鍍速率”為當製程處方是在沒有沉積氣體下被執行時所測 13 1328618
得的濺鍍速率;在該處理室内的壓力被調整至在沉積 鍍期間於一毯覆熱氧化物上被測得之壓力。 其它等效的測量可被用來將 HDP製程的相對沉 藏链供應量化,如熟習此技術者所習知的。另一種一 比例為”蝕刻/沉積比例”, 五_ (只有源極的沉積速率)+ (淨沉積速率) D ~ (只有源極的沉積速率) 該蝕刻/沉積比例隨著濺鍍的增加而增加且隨著濺鍍 加而增加。如在E/D的定義中所界定的,”淨沉積速i 指當沉積與濺鍍同時發生時所測得之沉積速率。然ί 該”只有源極沉積速率”係指當製程處方是在沒有濺鍍 執行時所測得的沉積速率本發明的實施例在本文中 D/S為例來說明。雖然 D/S及E/D並非是精確地互 (reciprocal),但它們是相反地相關連且它們之間的轉 熟習此技術者所瞭解的。 用於HDP-CVD製程中一給定的步驟之所想要的 比例通常是藉由包括先驅物氣體流及在某些例子中包 流動的氣體流(其亦可作為一濺鍍劑),來達成的。該 物氣體中所包含的元素起反應,用以形成帶有所想要 分之膜層。例如,為了要沉積氧化矽膜層,該等先驅 體可包括一含矽氣體,譬如矽烷SiH4,及一氧化氣體 物,譬如分子氧〇2。摻雜物可藉由將一包含所想要的 物之先驅物氣體而被添加到該膜層中,譬如藉由包括 流來將該膜層氟化,包括PH3流來將該膜層磷化,包 及濺 積及 般的 的增 「’係 i ' ,5 下被 係以 逆的 換是 D/S 括一 先驅 的成 物氣 反應 摻雜 SiF4 括一 14 1328618
B 2 Η 6流來將該膜層硼化,包括一 N 2流來將該膜層 等等。該流動的氣體可用一氫氣流或一鈍氣流,譬 氣流,或甚至是重一點的鈍氣流,如Ne,Ar,或 提也。由不同之流動的氣體所提供的濺鍍程度與它 子質量(或在氫氣的例子中是分子質量)成反比相關 氣產生的濺鍍甚至比氦氣還少。本發明的實施例大 提供流動的氣體流,其具有一小於 5 amu ·的平均 量。這可藉由使用一單一質量的氣流體,如具有純 或純的氦氣的氣體流,來達成。或者,氣體流有時 複數氣體流來提供,譬如具有氫氣流及氦氣流兩者 在該HDP-CVD處理室内混合。或者,有時候該氣 先混合使的一 He2/He氣體流以一混合的狀態被提 處理室。提供質量較大之分離的氣體流,或包括質 的義體於該預混合物中亦是可能的,其中該相對的: 或預混合物的濃度都被加以選擇,用以保持一低於 的平均分子質量。 在高深寬比結構中,與傳統上使用諸如氬氣之 氣體比較起來,使用相對高流率之低質量流動的氣 發現是可改善縫隙填充能力。一般認為這是因為使 氦作為流動的氣體所達成之於再沉積上的減少,使 的閉合以較慢的速率發生所獲致的結果。然而,本 人發現,使用低質量之流動的氣體可在開闊的區域 增大的角落修剪(corner clipping.)的結果。此效果可 3A及3B圖來瞭解,這兩個圖顯示HDP製程的濺鍍 氮化, 如一氦 Xe,來 們的原 連,氫 致上都 分子質 的氫氣 候可用 且它們 體可預 供至該 量較大 范率及/ 5 amu. 流動的 體已被 用氫或 得縫隙 案發明 中獲得 參照第 成分分 15 1328618
別用於在緻密區域中的缝隙及用於開闊區域内的縫隙上 所產生的效果。 詳言之,在第3A圖中的缝隙304為一高深寬比的 隙,其中該被沉積的物質係使用一 HDP-CVD製程來沉 並形成一特徵化的尖端結構308於水平表面上。再沉積 在物質312從該尖端308被噴濺時發生的,以回應沿著 徑3 1 6之電漿離子的撞擊。被再噴濺的物質3 1 2沿著一 遇到該縫隙3 0 4的相對側上之側壁3 2 4的路徑3 2 0前進 此一效果是對稱性的,使得當物質被喷濺離開該縫隙的 側上而到達右側時,物質亦從該縫隙的右側被喷濺而到 左側上。物質的再沉積防止過度濺鍍並得到角落修剪的 果。 此對稱性在開闊區域中並不存在,如在第 3 B圖中 示之開闊區域結構 3 3 0。在此例子中,沉積造成一類似 尖端3 0 8 ’的形成,但當物質3 1 2 ’沿著路徑3 2 0 ’被喷濺以 應沿著路徑3 1 6 ’的電漿離子的撞擊時,該縫隙的相對側 於保護性的再濺鍍而言離得太遠。第3B圖中的結構的 落與第3A圖的結構的角落一樣都蒙受相同的物質射出 但沒有接受從該縫隙的相對側被喷濺出來的物質的補償 果。因此,存在著修剪角落及傷到底下的結構之較大的 險。 在本發明的一實施例中,此角落修剪可藉由使用一 肴一開始很高的D/S比例的處理使得該處理的一開始部 是由較大的沉積成分及一較小濺鍍成分來支配,而可在 的 縫 積 是 路 會 〇 左 達 結 所 的 回 對 角 > 效 風 具 分 開 16 1328618 闊區域中被避免掉。接下來,在一些物質已被沉積用以保 護底下的結構之後,該D/S比例被變小,使得變大的濺鍍 成分在物質被沉積以完成縫隙填充的時候保將缝隙保持著 敞開。在處理期間的此一 D/S比例的變小被用來對付使用 低質量之流動的氣體加上一沒有強烈地受惠於再沉積效果 的縫隙結構所產生的效應。此一在D/S上的變小與以加大 D/S比例來改善縫隙填充之傳統的縫隙填充技術是完全相 反的。
使用此一技術之一示範性的處理以第4圖的流程圖來 顯示。該處理以將一基材送入一處理室令而開始於方塊 404。該基材典型地為一半導體晶圓,如一直徑200mm或 30 0mm的矽晶圓。在方塊4.08,先驅物氣體流被提供至該 處理室,其包括一含矽氣體流,一羧體流,及一低質量之 流動的氣體流。表I提供使用單矽烷SiH4流,分子氧02, 及氦He來沉積一未摻雜的矽酸鹽玻璃(“USG”)膜層所需之 示範性流率,應被瞭解的是,其它的先驅物氣體(包括摻質 源在内),及提供一小於5amu.的平均分子質量之其它流動 的氣體亦可被使用。 表I :用於USG沉精之示範性流率 用於200mm晶圓處理的流率 用於300mm晶圓處理的流率 Φ (SiH4) 1 0-60sccm Φ (SiH4) 1 0-60sccm Φ (〇2) 20-1 20sccm Φ (〇2) 20-120sccm Φ (h2) 400-1OOOsccm Φ (Η2) 750-1600sccm 如表中所顯示的,對於200mm及300mm直徑的晶圓而言, 17 1328618
先驅物氣體的流率可以是相近的,但該流動的 則較高。 在方塊412,一高密度電漿係藉由將能量 中而從氣體流形成的。用來產生一高密度電漿 為電感地耦合RF能量。該D/S比例不單單是 率來決定,還要由耦合至該室的能量的電力密 施加到該基材上之偏壓的強度,由該室内之溫 内的壓力,及其它因子等來決定。對了要沉積 開始的部分,這些處理參數被選取用以提供-的範圍内之D/S比例,如方塊416所示的。沉 D/S來進行,用以部分地填充該缝隙,如方塊 其中該處理條件在方塊424被改變用以提供一 比例。在某些實施例中,縫隙在方塊420被填 深度由其最初的深度以少於5 0 %的程度被減少 果該縫隙的最初深度為5.4微米(如,一深寬 寬度為約0.9微米的縫隙所具有的深度),在方 充會將該縫隙的深度減小至約3 · 3微米,其在 減約40%。 在方塊428,用來填充其餘的的縫隙之適 例數值為小於10,如在方塊424所示。這些數 由處理參數所決定且提供一增大的濺鍍成分來 層的沉積期間保持該缝隙的敞開。在該縫隙被 該電漿在方塊432被熄滅且該基材在方塊436 理室。 氣體的流率 耦合至該室 的一般技術 由氣體的流 度,由可被 度,由該室 該膜層的最 -在 20-100 積可用此一 420所示, 較小的D/S 充,使得它 。例如,如 ,為6 : 1且 塊420的填 高度上的縮 合的D/S比 值在次地是 在其餘的膜 填充之後, 被送出該處 18 1328618
該處理已如一原地(in situ)處理般被描述,其用 續的電漿在一單一的處理室内實施,但這些都不是本 的必要條件。在其它的實施例中,電漿可被熄滅且為 處理的不同部分被再點燃且該處理的不同部分可在不 室中被實施。 本發明的另一實施例以第5圖的流程圖來顯示且 施例利用到本案發明人的一意料之外的發現,即一氫 漿可被用來去除掉被沉積的氧化矽。該氧化矽的去除 據 Si〇2 + 2H2 — SiH4 + 02的化學反應來進行並提供· 5 0- 1 00埃/分鐘之相當慢的去除速率,即使是流到該 H2流率為1000seem時亦然。相較於其它去除處理(如 鹵素化學物為基礎的化學蝕刻處理或可提供具有一低 比例的處理條件來積極地濺鍍物質之機械式處理而言 一緩慢的去除速率可提供一改良的精確程度。使用以 為基礎的蝕刻化學物,如由NF3形成電漿所得到的結 會因為鹵素鋁副產物,如A1F3,的形成而造成在該室 上之所不想要的應力。 在描述這些實施例時,同時參照第5及第6圖的 圖,第6圖提供一結構在第5圖的處理期間的不同點 簡化的剖面圖。該結構被顯示為一具有溝渠之作為一 結構的一部分的結構,但本發明的原理可被更為廣泛 用到任何界定有待填充的缝隙之結構,包括IMD及 應用在内。如第5圖所示的,該處理係以將一基材放 一處理室内開始於方塊504。該基材具有一示意地示於 一連 發明 了該 同的 該實 氣電 係根 一約 室的 ,以 D/S ,此 鹵素 果, 陶瓷 流程 處之 STI 地應 PMD 置在 第6 19 1328618
圖中之初始結構604,其具有形成一或多個待填充 之特徵結構620。特徵結構620可以是介於被蝕刻& 相鄰的金屬線,電晶體閘極,或其它特徵結構之間 區域。在某些例子中,結構604可額外地包括在凸 徵結構上之化矽部分及/或沿著縫隙的内部之化矽福 一襯裡的存在會進一步加大該縫隙的深寬比。 當基材被適當地放置之後,在方塊 508,一含 流,如SiH4流,一含氧氣體流,如02氣體流,及 氣H2被提供至該室。在方塊516,一高密度電漿藉 地將RF能量耦合至該室而從該等氣體流被形成。 中所解釋的,在方塊516,該沉積造成一尖端636 (如,中間結構608中所示的),其中再沉積造成矽 璃在底下的結構的靠近角落處的沉積比在側壁上 厚。 為了要去除一些被沉積的矽酸鹽玻璃並將該縫 塑形以實施進一步的沉積,含矽氣體及含氧氣體的 流以及任何已被供應之摻質氣體流都在方塊5 2 0被 該物質的去除是起因於剩下的氫電漿的交互作用的 用以產生一進一步的中間結構6 1 2,該中間結構具 小的尖端高度640及一經過在塑形的輪廓。雖然主 除機制係起因於上述的化學交互作用,但在某些實 被強化。例如,一偏壓可被施加到該基材上,用以 漿離子並藉以將非等方向性導入該去除中。其它的 向性亦可藉由使用不同的H2氣體流於該室中而被! 的縫隙 I溝渠, 的基材 起的特 1裡。此 矽氣體 分子氫 由感應 如上文 的形成 酸鹽玻 的沉積 隙重新 先驅物 停止。 結果, 有一減 要的去 施中可 吸引電 非等方 卜入,用 20 1328618
以提供不同的流動特性於整個室内,讓該去除被選擇性 實施於整個基材上。此外,該電漿可包含除了氫之外的 質,用以提高機械性的濺鍍效果,但本發明的某些實施 大體上仍保留電漿源氣體(source gas)的平均分子重量 於5 a m u.的限制。 物質的去除(藉由將該被沉積的膜層640塑形)導致 構6 1 2,使得原始的特徵結構的基本形狀被保留,但其 寬比變得較不劇烈。在物質已被去除並再敞開該縫隙 後,先驅物氣體流在方塊5 2 8被重新開始,使得該縫隙 其餘部分可在方塊532被填充,用以產生帶有膜層644 結構6 1 6,其提供無空隙的縫隙填充。在許多例子中, 縫隙可用兩個這種沉積階段及一單一中間去除階段來 充,但更侵略性的(a g g r e s s i v e)的縫隙則可用更多次的内 (interleaved)沉積及去除階段來填充。 再次地,該處理被描述為一原地的處理,其中沉積 去除階段係在一單一的室中用一連續的電漿來實施。在 它實施例中,該電漿可在兩個階段之間被熄滅,氣體流 其它參數為了準備下個階段而被加以調整,且一電漿被 次形成。這些實施例亦可如原地處理理般地在一單一室 被實施(或在一多室系統中的不同室中),或可如原地處 般地在不同室中被實施。在某些例子中,以生產量及效 來考量’原地處理是較佳的。 在其它實施例中,參照第4圖所描述之有關於使用 減小的D/S比例的處理及參照第5圖所描述之有關於用 地 物 例 小 結 深 之 的 的 該 填 插 及 其 及 再 中 理 能 以 21 1328618
氫為基礎的電漿來化學性地去除矽酸鹽玻璃物質的處 者可被結合。第7A-7 C圖提供的流程圖顯示這兩個處 如何以不同的方式來加以結合。 首先,使用於第4圖中之改變D/S比例可與第5 處理整合藉由讓沉積516及/或532中的一者或兩者 D/S比例的改變。因此,第7A圖明確地顯示第5圖的 516可包含第一方塊762,該縫隙的第一部分在該方塊 供介於20至100之間的D/S比例之處理條件來填充 包含一第二方塊 764,該缝隙的第二部分在該方塊以 一低於1 0的D/S比例的處理條件接著被填充。包括 最初的高的D/S比例在避免在開闊區中的角落修剪上 有用的,其理由與上文所述的相同。 第7B圖明確地顯示第5圖的方塊532可包含一 方塊766,該縫隙的第一部分在該方塊以提供介於20 5 之間的 D/S 比例之處理條件來填充,及包含一第二 768,該縫隙的第二部分在該方塊以提供一低於10的 比例的處理條件接著被填充。在第5圖的方塊5 24的 去除之後包括此一高的D/S比例在被去除掉的物質的 因為留下來的物質不足以保護角落而引起在開闊區域 角落修剪的風險的情況中是很有用的。 第7C圖顯示一種處理,在該處理中該高的D/S 一開始時被使用,藉以提供在開闊區域中之角落免於 修剪,但在曝露於一氫氣電漿去除掉物質之後即改為 該較低的D/S比例。此一實施例適合物質的去除不像 理兩 理是 圖的 使用 方塊 以提 ,及 提供 此一 是很 第一 .100 方塊 D/S 物質 數量 中之 比例 角落 使用 引起 22 1328618 角落修剪的風險般地積極的庙^ β 谓拽的應用中,即使是在開闊區域 中,即使是在物質去除之後抱、β 之後都選有足夠的矽酸鹽玻璃物質 留下來保護角落。為了要如_κ 安如 原地處理般地用一連續電毁 來實施此一處理,該某;y·太士仏 卷材在方塊74〇被送入該處理室且含 碎氣體流’含氧氣趙滿> 札姐机及H2虱氡流在方塊708被提供,使 得在方去鬼712 —高密度電激可被形成於該室中。豸缝隙在 方塊716藉由使用提供一介於2〇至ι〇〇之間的d/s比例
的處理參數而被部分地填充。含矽氣體及含氧氣體的先驅 物流在方塊720被停止,使得—部分被沉積的薄膜在方塊 724處藉由使用一氫氣電漿而被去除掉。含矽氣體及含氧 氣體的先驅物流在方塊728被重新開始,且處理參數被建 立使得該縫隙的其餘部分在方塊7 3 2用一小於1 〇的〇 / S 比例來進行填.充。在完成該縫隙填充處理之後,該基材於 方塊736被送出該室。 示範性的基材處理系統
本案發明人已用設在美國加州 Santa Clara市的 Applied Materials公司製造的 ULTIMA系統來實施本發 明,該設備的一般性說明被提供在1 996年7月1 5曰由Fred C. Redeker, Farhad Moghadam,Hirogi Hanawa, Tetsuya
Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wang 及 Ashok S inha 提申’ 名稱為”SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP-CVD REACTOR”之美國專利第6,170,428號中,該專 利的全部内容藉由此參照而被併於本文中。該系統的概述 23 1328618 將參照第8A及8B圖來提供》第8a圖示意地顯示出在— 實施例中此一 HDP-CVD系統81 〇的結構。系統81 〇包括 一室813,一真空系統870,一來源電漿系統88〇A,_偏 壓電漿系統880B’ 一氣體輸送系統833,及一遠端電漿清 潔系統850。 該室813的上部包括一圓形頂814,它是由陶瓷介電 材質製成’譬如氧化鋁或氮化鋁。圓形頂814界定出一電
漿處理區域816的上邊界。電漿處理區域816的下邊界是 由基材817的上表面及基材支撐件818所界定的。 一加熱板823及一冷板824包圍該圓形頂814並熱耦 合至該圓形頂814。該加熱板823及冷板824可將該圓形 頂814的溫度控制在約1〇〇〇c至2〇〇〇c之間且在約±1〇它的 範圍内。徹可讓該圓形頂針對不同的處理進行最佳化。例 如,圓形頂在清潔或蝕刻處理期間的溫度可被保持在比沉 積處理期間的溫度高。圓形頂的溫度的精確控制亦可減少
在至内之屑片或微粒數量並改善介於被沉積層與基材之間 的黏著性D …,。丨·巴祜一本體件822,它將該室結合至該 ^2系統°該基材支料818的基部821被安裝到該本趙 2上並與該本體件822形成一連續的 —機械臂截般r去-山 两基材被 取出開孔(夫 )經由是在該"13的側邊上之插入/ 在一(未不出)而被送入/送出該冑813。舉升銷(未示出) 該機械臂ΤΙ出)的控制下被升高及降低’用以將基材從 栽盤的一上裝載位置85 7移至—下處理位置
24 1328618 856,在此位置時基材被放置到基材支撐件818的基材接受 部819上。基材接受部819包括一靜電夾頭82〇其在基材 處理期間將該基材固定於基材支撐件818上。在一較佳的 實施例中’基材支撐件818是由氧化鋁或鋁陶瓷材質製成 的》
真空室870包括一節流閥本體825,其容納雙葉片節 流閥826 ’且被固定到閘閥827及渦輪分子幫浦828。應被 注意的是’節流閥本體8 2 5提供最小的阻礙至氣體流,並 提供對稱抽泵。閘閥827可將幫浦828與節流閥本體825 隔離開來’且亦可在該節流閥8 2 6是完全打開時藉由限制 排放流容量來控制室壓力。節流閥,閘閥,及渦輪分子幫 浦的安排可提供室壓力從約1 mTorr至約2Torr之精確且穩 定的控制。
該來源電漿系統880A包括安裝於圓形頂814上的一 頂線圈829及側線圈830。一接地遮板(未示出)減少兩線 圈之間的電耦合。頂線圈829是由上來源RF(SRF)產生器 8 3 1 A來提供電力,而側線圈8 3 0則是由側S RF產生器8 3 1 B 來提供電力,這讓每一線圈的電力及頻率可獨立的操作。 此雙線圈系統可控制在室813内之徑向的離子密度,藉以 改善電漿均勻度。側線圈8 3 0及頂線圈8 2 9典型地係被感 應地驅動,其無需一互補的電極。在一特定的實施例中, 該上來源RF產生器831A提供高達250 0W之2MHz的RF 功率且該側來源RF產生器83 1B提供高達5000W之2MHz 的RF功率。該頂RF及側RF產生器可偏離正常的操作頻 25 1328618 率(如,分別偏離至1.7-1.9MHZ至1·9-2.1ΜΗζ)用以改善電 漿產生效率。
一偏壓電漿系統880Β包括一偏壓RF(“BRF”)產生器 831C及一偏壓匹配網絡832C。該偏壓電漿系統880B電容 地將基材部分817耦合至本體件822,其如互補電極般地 作用。該偏壓電漿系統880B用來加強將該來源電漿系統 880A所產生的電漿物質(如,離子)傳送至基材的表面上。 在一特定的實施例中,該偏壓RF產生器提供高達1 0000 W 之低於5MHz的RF功率,這將於下文中說明。
RF產生器831A及831B包括以數位控制的同步器且 是在一.介於1.8至2.1 MHz的頻率範圍内操作。每一產生 器都包括一 RF控制電路(未示出),它測量從該室及線圈反 射回到該產生器的功率並調整操作的頻率,用以獲得最小 之被反射的功率,此部分是熟習此技術者所瞭解的。RF 產生器典型地被設計在一具有 50歐姆的特徵阻抗的負荷 下操作的。RF功率會從具有不同於產生器的特徵阻抗的負 荷被反射回來。這可減少傳送至該負荷的功率。此外,從 負荷被反射回來的功率會讓產生器過載並傷及產生器。因 為一電漿的阻抗其範圍在小於5歐姆至超過900歐姆(依電 漿離子密度而定),且因為被反射的功率可以是頻率的一個 函數,所以依據被反射的功率來調整產生器蓋率可提高從 該RF產生器傳送至該電漿的功率並保護該產生器。另一 個減少被反射的功率並改善效率的方式為使用一匹配網 絡。 26 1328618
匹配網絡832A及832B將產生器831A及831B的輸 出阻抗與它們各自的線圈829及830相配接。RF線圈電路 可藉由改變在匹配網絡内的電容器的數值來調整這兩個匹 配網絡,用以在負荷改變時將產生器與負荷相匹配。該RF 控制電路可在由該負荷被反射回到該產生器的功率超過一 特定極限時調整一匹配網絡。提供一不變的匹配及有效率 地讓該 RF控制電路不能調整該匹配網絡的一個方式為, 將該被反射的功率極限設定為高於任何被預期的被反射功 率數值之上。這有助於在某些情況之下藉由將該匹配網絡 保持在其最近的情況不變而來穩定一電漿。 其它的方式亦有助於穩定一電漿。例如,該RF控制 電路可被用來決定輸送至該負荷(電漿)的功率及可提高或 降低產生器輸出功率,用以在一層的沉積期間保持被輸送 的功率大致固定不變。
一氣體輸送系統8 3 3透過氣體輸送管線8 3 8 (只有一部 分管線被示出)提供來自數個氣體來源 834A-834E的氣體 至該室813以處理該基材。如熟習此技術者所習知的,用 作為氣體來源8 34 A-834 E的實際氣體來源及送至該室813 的實際輸送管路838是與該室813内所實施之沉積與清潔 處理有關。氣體經由一氣體環837及/或一上喷嘴845被引 入室813中。第8B圖為室813的一簡化的部分剖面圖, 其顯示氣體環8 3 7的細部。 在一實射例中,第一與第二氣體來源834A及83 4B, 及第一與第二氣體流控制器83 5A’及 83 5B’透過氣體輸送
27 1328618 管路 838(只有一部分管線被示出)提供氣體至位在氣體環 834内的環形充氣腔83 6。氣體環837具有複數個電漿源氣 體喷嘴 839(為了顯示的目的,只有一個被示出),其提供 一均勻的氣體流於該基材上。喷嘴長度及喷嘴角度可被改 變,用以針對室内的特定處理提供專門的均勻性特徵及氣 體利用效率。在一較佳的實施例中,氣體環 8 3 7具有12 個用氧化鋁陶瓷製成的電漿源氣體喷嘴。
氣體環83 7亦具有複數個氧化劑氣體噴嘴840(只有一 個被示出),其在一較佳的實施例中是與電漿源氣體噴嘴 839共平面且比它們短,且在一實施例中承接來自本體充 氣腔841的氣體。在某些實施例中,在將電漿源氣體與氧 化劑氣體注入到室8 1 3之前是不想要將這兩種氣體混合在 一起。在其它實施例中,藉由在本體充氣腔841與氣體環 充氣腔8 3 6之間提供孔洞(未示出)來在注入到室8 1 3内之 前讓電漿源氣體與氧化劑氣體混合在一起。在一實施例 中,第三,第四,及第五氣體來源83 4C,83 4D及834D, 與第三及第四氣體流控制器835C及835D’透過氣體輸送 管線838提供氣體至該本體充氣腔。額外的閥,如843B(其 它的閥沒有被示出)可從流體控制器處截斷流至該室的氣 體。在實施某些本發明的實施例時,氣體來源834A包含 矽烷SiH4來源,氣體來源834B包含分子氧02來源,氣 體來源834C包含SiH4來源,及氣體來源834D包含氦氣 He來源,及氣體來源834D’包含分子氫氣H2來源。 在使用可燃,有毒或腐蝕性氣體的實施例中,在沉積
28 1328618
之後將留在該氣體輸送管線内的氣體清除掉是所想要的。 這可藉由使用一三通閥,如閥83 4B,來將室813與輸送管 線 8 3 8 A隔絕開來且將輸送管線 8 3 8 A排放至前級真空 (vacuum foreline)844。如第8A圖所示,其它類似的閥, 如閥843A及843C,可被加入到其它的氣體輸送管路上。 此等三通閥可被放置在儘可能靠近室813處,用以將未被 排出之氣體輸送管線(介於該三通閥與該室之間)的體積減 至最小。此外,雙通(開-關)閥(未示出)可被放置在一質量 流控制器(“MFC”)及該室之間或在一氣體來源與一 MFC之 間。
再次參照第8 A圖,室8 1 3亦具有上閥8 4 5及上排氣 孔8 4 6。上閥8 4 5及上排氣孔8 4 6讓頂部及側邊的氣體流 能夠被獨立地控制,這可改善膜層的均勻性且可對膜層的 沉積及掺雜參數作微調。上排氣孔846為一圍在上喷嘴845 周圍之環狀開孔。在一實施例中,第一氣體來源834A供 應電漿源氣體噴嘴 839及上喷嘴 845。電漿源氣體喷嘴 MFC 83 5 A,控制送至電漿源氣體喷嘴8 39及上喷嘴845的氣 體量,及電漿源氣體喷嘴MFC83 5A控制送至上噴嘴845 的氣體量。類似地,兩個MFC835B及835B’可被用來控制 從單一氧氣來源,如氣體來源834B,送至上排氣孔846及 氧化劑氣體喷嘴840的氧氣流。在某些實施例中,氧氣並 沒有從任合側邊喷嘴被供應至室。被供應至該上閥845及 上排氣孔846的氣體在它們被流入室8 1 3之前可被保持分 離,或這兩種氣體可在它們流入室813之前於上充氣腔848 29 1328618
内被混合。同一氣體之分離的氣體來源可被用來 的不同部分。 一遠端用微波產生的電漿清潔系統850被提 性地將沉積殘留物從室構件上清除掉。該清潔系 遠端微波產生器851其由一清潔氣體源834E產 (如’分子氟,三氟化氮,其它碳氟化合物或等多 應器腔穴853内。由此電漿獲得之反應性物質經 體給送埠854及透過施用器管855被送至室813 容納該清潔電漿的材質(如,腔穴853及施用器‘ 需要能夠抵抗電漿的攻擊。介於反應器腔穴853 854之間的距離應儘可能被保持得很短,因為所 襞物質的濃度會隨著離開反應器腔穴853的距離 產生該清潔電漿於一遠端腔六内可容許使用一有 波產生器且不會讓室的構件會受到輝光放電的溫 或轟擊的影響,這些影響是在原地形成電漿時會 因此’相對敏感的構件,如靜電夾頭 820’無需 能晶圓(dummy wafer)來遮蔽它或用其它方式保讀 原地電漿清潔處理中是必要的。在第8A圖中, 潔系統850被設置在該室813上方,但其它的位 使用。 一擋板861可被提供在靠近上喷嘴處用以將 嘴所提供之電漿源氣體流導入到該室中並用來導 生的電漿。經由上喷嘴84 5提供的電漿源氣體被 —中央通道進入到該室中,而經由該清潔氣體給 供應該室 供來週期 統包括一 生一電漿 文物)於反 由清潔氣 。被用來 f 8 5 5)必 與給送埠 想要的電 而衰減。 效率的微 度,輻射 存在的。 用一無功 .它,這在 該電漿清 置亦可被 經由上嗔 引遠端產 導引通過 送埠 854 30 131328618
提供之遠端產生的電漿物質被該擋板861導引至該室8 的側邊。 熟習此技術者將可瞭解的是,特定的參數可在不偏 本發明的精神下隨著不同的處理室及不同的處理條件而 變。其它的變化對於熟習此技術者而言亦是明顯的。這 等效物及變化例都將被包括在本發明的範圍内。因此, 發明的範圍不應被侷限在所描述的實施例上,而應是下 申請專利範圍來界定》 【圖式簡單說明】 第1圖提供一系列的示意剖面圖,其顯示在前技縫 填充處理期間一空隙的形成; 第2圖為一部分完成之積體電路的簡化剖面圖,其 含了複數個淺溝渠絕緣結構; 第3A及3B圖為示意圖,它們分別顯示在一結構的 密區域及在開闊區域處之縫隙填充特性; 第4圖為一流程圖,其顯示在本發明的一實施例中 第5圖為一流程圖,其顯示在本發明的另一實施例 沉積一膜層的方法; 第6圖為示意圖,其顯示物質是如合使用第5圖的 法被沉積到一缝隙内; 第7 A-7C圖為流程圖,其顯示在本發明的其它實施 中沉積一膜層的其它方法; 離 改 些 本 的 隙 包 緻 沉 中 方 例 31 1328618 第 8A圖為一高密度電漿化學氣相沉積系統的一實施 例的簡化圖式,本發明的方法可用該系統來實施;及 第8B圖為可與第8A圖的示但性處理系統一起使用之 一氣體環.的簡化剖面圖。
【主要元件符號說明】 1 04 一開始的結構 120 縫隙 122 水平表面 124 特徵結構 128 特徵結構 108 結構 132 水平表面 112 結構 116 最終結構 140 側壁 136 結構 144 空隙 200 積體電路 204 基材 2 16 氮化矽層 220 氮氧化物層 224 溝渠 2 12 開闊區域 208 緻密區域 304 縫隙 308 尖端結構 3 16 路徑 3 12 物質 324 側壁 3 18* 尖端 312, 物質 3205 路徑 320 路徑 3 165 路徑 604 初始結構 620 特徵結構 608 中間結構 636 尖端 612 中間結構 640 头·端南度 644 膜層 32 1328618
616 結構 8 10 HDP-CVD 系統 813 室 870 真空系統 880A 來源電漿系統 880B 偏壓電漿系統 833 氣體輸送系統 850 遠端清潔系統 8 14 圓形頂 8 1 6 電漿處理區域 817 基材 818 基材支撐件 823 加熱板 824 冷板 822 本體件 82 1 基部 857 上裝載位置 8 19 基材接收部 820 靜電夾頭 859 下處理位置 825 節流閥本體 827 閘閥 826 雙葉片節流閥 828 渦輪分子幫浦 829 頂線圈 830 側線圈 83 1 A 上來源RF產生器 831B 側來源RF產佳 83 1 C 偏壓RF產生器 832C 偏壓匹配網絡 832A,832B 匹配網絡 838 氣體輸送管線 834A-834E 氣體來源 837 氣體環 845 上喷嘴 8 3 5 A, 第一氣體流控 835B’ 第二氣體流控制器 836 環形充氣腔 839 電漿源氣體噴嘴 840 氧化劑氣體喷嘴 84 1 本體充氣腔 834C 第三氣體來源 834D 第四氣體來源 834D’ 第五氣體來源 83 5C 第三氣體流控制器 835D, 第四氣體流控 845 上閥 846 上排氣孔 33 1328618 85 1 遠端微波產生器 8 5 3 反應 器 腔穴 854 清擊義體給送埠 8 5 5 施用 器 管 861 擋板
34

Claims (1)

  1. 第州如/?/ 1328618 號_案” 拾、申請專利範圍:. ..._ . . . . · - ·- 1. 一種沉積氧化矽膜層於一放置在基材處理室内之基材 上的方法,該基材具有一形成在相鄰凸起表面之間的縫 隙,該方法至少包含: 將一含矽氣體流入到該基材處理室内; 將一含氧氣體流入到該基材處理室内; 將一具有小於 5amu.的平均分子重量之&胃 φ (fluent)氣體流入到該基材處理室内; 用一第一沉積處理從該含矽氣體、該含氧氣趙及該 流動的氣體形成一第一高密度電漿,用以將該氧化 膜 的一第一部分沉積到該基材上及該縫隙内,該第—沉積 • 處理具有同步的沉積及濺鍍成分,它們具有由第一沉積 /踐鑛比例所界疋之相對供應(contribution); 從該流動的氣體形成一第二高密度電漿,用以去除 該氧化矽膜之該第一部份的一部份;及 φ 用一第二沉積處理從該含矽氣體、該含氧氣體及該 流動的氣體形成一第三高密度電漿,用以將該氧化矽膜 的第二部分沉積到該基材上及該缝隙内,該第二沉精 處理具有同步的沉積及濺鍍成分,它們具有由第二沉積 /濺链比例所界定之相對供應,其中該第二沉積/濺鍍比 例小於該第一沉積/濺鍍比例, 其中該第一及第二沉積/幾鍍比例中的每一者都是 由淨沉積率與毯覆濺鍍率的總和對毯覆濺鍍率的比例 月修IE
    35 1328618 所界定的。 2. 如申請專利範圍第1項所述之方法,其中該第一沉積/ 濺鍍比例係介於2 0至1 0 0之間。 3. 如申請專利範圍第2項所述之方法,其中該第二沉積/ 濺鍍比例係小於1 0。
    4. 如申請專利範圍第1項所述之方法,其中形成該第二沉 積/濺鍍比例包含在不熄滅第一高密度電漿下改變處理 條件。 5. 如申請專利範圍第1項所述之方法,其中該流動的氣體 包含分子氫H2。 6. 如申請專利範圍第5項所述之方法,其中該分子氫H2
    係以一大於500sccm的流率流入到該基材處理室内。 7. 如申請專利範圍第1項所述之方法,其中該流動的氣體 包含氦He。 8. 如申請專利範圍第1項所述之方法,其中該含矽氣體可 包含單矽烷SiH4且該含氧氣體可包含分子氧02。 36
    1328618 9·如申請專利範圍第1項所述之方法,其中該氧化梦 的第一部分將該缝隙的深度以小於5 〇%來加以減少 10.如申請專利範圍第1項所述之方法,其中該縫隙 複數個形成在相鄰凸起表面之間的縫隙,其中這些 中的第一縫隙的寬度是這些縫隙中的第二缝隙的 的至少5倍。 11. 一種沉積氧化矽膜層於一放置在基材處理室内之 上的方法,該基材具有複數個形成在相鄰凸起表面 的缝隙,其中這些缝隙中的第一縫隙的寬度是這些 中的第二縫隙的寬度的至少5倍,該方法至少包令 將單矽烷SiH4流入該基材處理室; 將分子氧02流入該基材處理室; 將分子氫H2以大於500sccm的流率流入到該 處理室内; 用一第一沉積處理從該單矽烷SiH4 ’該分 〇2,及該分子氫H2來形成一第一高密度電漿,以 氧化矽膜層的第一部分沉積於該基材上及該第一 二縫隙之各者内,該第一沉積處理具有同步的沉積 鍍成分,其相對供應是由介於20至100之間的一 沉積/濺鍍比例來界定的; 膜層 〇 包含 縫隙 寬度 基材 之間 缝隙 基材 子氧 將該 及第 及濺 第一 37
    1328618 從該分子氫h2形成一第二高密度電漿,用以去 該氧化矽膜之該第一部份的一部份;及 用一第二沉積處理從該單矽烷 SiH4,該分子 02,及該分子氫H2來形成一第三高密度電漿,以將 氧化矽膜層的第二部分沉積於該基材上及該第一及 二縫隙之各者内,該第二沉積處理具有同步的沉積及 鍍成分,其相對供應是由低於10的一第二沉積/濺鍍 例來界定的, 其中該第一及第二沉積/濺鍍比例中的每一者都 由淨沉積率與毯覆濺鍍率的總和對毯覆濺鍍率的比 所界定的。 12· —種沉積氧化矽膜層於一放置在基材處理室内之基 上的方法,該基材具有一形成在相鄰凸起表面之間的 隙,該方法至少包含: 提供一第一氣體的混合物流至該基材處理室,該 一氣體的混合物包含一含矽氣體流、一含氧氣體流及 流動的氣體流; 從該第一氣體的混合物形成一第一高密度電漿, 用一第一沉積處理將該氧化矽膜層的第一部分沉積 該基材上及該缝隙内,該第一沉積處理具有同步的沉 及濺鍍成分; 將該氧化矽的第一部分曝露在一第二高密度電 除 氧 該 第 滅 比 是 例 材 缝 第 以 於 積 漿 38 1328618 中,該第二高密度電漿是用一氣體流形成的,該氣體流 具有小於5amu.的平均分子重量且包括一分子氫H2流; 之後,提供一第二氣體的混合物流至該基材處理 室,該第二氣體的混合物流包含一含梦氣趙流、一含氧 氣體流及一流動的氣體流;以及
    從該第二氣體的混合物形成一第三高密度電漿,以 用一第二沉積處理將該氧化矽膜層的第二部分沉積於 該基材上及該缝隙内,該第二沉積處理具有同步的沉積 及濺鍍成分。 13.如申請專利範圍第12項所述之方法,其中該第二高密 度電漿是用一主要由分子氫H2組成之氣體流所形成。 14.如申請專利範圍第12項所述之方法,其中將該第一部 分曝露於該第二高密度電漿中包含停止包含在該第一 氣體的混合物流内之含梦的氣趙流及該含氧的氣趙流。
    15.如申請專利範圍第14項所述之方法,其中提供該第二 氣體的混合物流包含重新開始該被停止的含矽的氣體 流及該被停止的含氧氣體流。 16.如申請專利範圍第 12項所述之方法,其中該分子氫 H2的流率是以大於500sccm的流率提供。 39 1328618 17.如申請專利範圍第12項所述之方法,其中該分子氫 H2的流率是以大於lOOOsccm的流率提供。 18.如申請專利範圍第12項所述之方法,其更包含:
    將該氧化矽膜層的第二部分曝露於一第四高密度 電漿中,該第四高密度電漿是用一氣體流形成的,該氣 體流具有小於 5amu.的平均分子重量且包括一分子氫 H2流; 之後,提供一第三氣體的混合物流至該基材處理 室,該第三氣體的混合物流包含一含砍氣體流、一含氧 氣體流及一流動的氣體流;及 從該第三氣體的混合物形成一第五高密度電漿,以 用一第三沉積處理來將該氧化矽膜層的第三部分沉積 於該基材上及該縫隙内,該第三沉積處理具有同步的沉 積及濺鍍成分。 19.如申請專利範圍第12項所述之方法,其中: 該第一沉積處理包含由一介於20至100之間的第 一沉積/濺鍍比例所界定之相對的沉積及濺鍍供應; 該第二沉積處理包含由一低於 10的第二沉積/濺 鍍比例所界定之相對的沉積及濺鍍供應;及 該第一及第二沉積/濺鍍比例中的每一者都是由淨 40 1328618 沉積率與毯覆濺鍍率的總和對毯覆濺鍍率的比例所界 定。 20_如申請專利範圍第12項所述之方法,其中該第一沉積 處理包含: 一第一部分,其具有由一介於20至100之間的第一 沉積/濺鍍比例所界定之相對的沉積及濺鍍供應;及
    一第二部分,其包含由一低於10的第二沉積/濺鍍 比例所界定之相對的沉積及濺鍍供應, 其中該第二部分暫時地接續在該第一部分之後,且 該第一及第二沉積/濺鍍比例中的每一者都是由淨沉積 率與毯覆濺鍍率的總和對毯覆濺鍍率的比例所界定的。 21.如申請專利範圍第12項所述之方法,其中該第二沉積 處理包含:
    一第一部分,其具有由一介於20至100之間的第 一沉積/濺鍍比例所界定之相對的沉積及濺鍍供應;及 一第二部分,其包含由一低於10的第二沉積/濺鍍 比例所界定之相對的沉積及濺鍍供應, 其中該第二部分暫時地接續在該第一部分之後,且 該第一及第二沉積/濺鍍比例中的每一者都是由淨沉積 率與毯覆濺鍍率的總和對毯覆濺鍍率的比例所界定的。 41 1328618 22. —種沉積氧化矽膜層於一放置在基材處理室内之基材 上的方法,該基材具有一形成在相鄰凸起表面之間的縫 隙,該方法至少包含: 以大於500sccm的流率提供一第一氣體的混合物 流至該基材處理室,該第一氣體的混合物包含一單矽烷 SiH4流、一分子氧〇2流及一分子氮H2流;
    從該第一氣體的混合物形成一第一高密度電漿,以 用一第一沉積處理將該氧化矽膜層的第一部分沉積於 該基材上及該縫隙内,該第一沉積處理具有同步的沉積 及濺鍍成分; 停止該單矽烷SiH4流及該分子氧02流,以將該氧 化矽的第一部分曝露在一第二高密度電漿中,該第二高 密度電漿是從該分子氫H2流形成的,其中分子氫H2 流的流速被保持在大於500sccm ; 重新開始被停止的該單矽烷SiH4流及被停止的該 分子氧〇2流以形成一第三高密度電漿,並以一第二沉 積處理來將該氧化矽膜層的第二部分沉積於該基材上 及該缝隙内,該第二沉積處理具有同步的沉積及濺鍍成 分。 23.如申請專利範圍第22項所述之方法,其中: 該缝隙包含複數個形成在相鄰凸起表面之間的缝 隙,這些缝隙中的第一缝隙的寬度是這些縫隙中的第二 42 1328618 缝隙的寬度的至少5倍; 該第一沉積處理包含由介於20至100之間的第一 沉積/濺鍍比例所界定之相對的沉積及濺鍍供應;及 該第二沉積處理包含由一低於 10的第二沉積/濺 鍍比例所界定之相對的沉積及濺鍍供應,
    該第一及第二沉積/濺鍍比例中的每一者都是由淨 沉積率與毯覆濺鍍率的總和對毯覆濺鍍率的比例所界 定的。 24. —種沉積氧化矽膜層於一放置在基材處理室内之基材 上的方法,該基材具有一形成在相鄰凸起表面之間的缝 隙,該方法至少包含: 提供一第一氣體的混合物流至該基材處理室,該第 一氣體的混合物包含一含矽氣體流、一含氧氣體流及一 流動的氣體流;
    從該第一氣體的混合物形成一第一高密度電漿,以 用一第一沉積處理將該氧化矽膜層的第一部分沉積於 該基材上及該缝隙内,該第一沉積處理具有同步的沉積 及濺鍍成分; 將該氧化矽膜的第一部分曝露在一第二高密度電 漿中,該第二高密度電漿是用一氣體流形成的,而該氣 體流包括一分子氫H2流但不包括自素; 之後,提供一第二氣逋的混合物流至該基材處理 43 1328618 室,該第二氣體的混合物流包含一含矽氣體流、一含 氣鱧流及一流動的氣想流; 從該第二氣體的混合物形成一第二高密度電漿, 用一第二沉積處理來將該氧化矽膜層的第二部分沉 於該基材上及該缝隙内,該第二沉積處理具有同步的 積及濺鑛成分。 氧 以 積 沉
    44
TW094141171A 2005-01-08 2005-11-23 High-throughput hdp-cvd processes for advanced gapfill applications TWI328618B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/031,926 US20060154494A1 (en) 2005-01-08 2005-01-08 High-throughput HDP-CVD processes for advanced gapfill applications

Publications (2)

Publication Number Publication Date
TW200624589A TW200624589A (en) 2006-07-16
TWI328618B true TWI328618B (en) 2010-08-11

Family

ID=36653844

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094141171A TWI328618B (en) 2005-01-08 2005-11-23 High-throughput hdp-cvd processes for advanced gapfill applications

Country Status (4)

Country Link
US (2) US20060154494A1 (zh)
KR (1) KR101289795B1 (zh)
CN (1) CN100483646C (zh)
TW (1) TWI328618B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
CN101440480B (zh) * 2007-11-22 2010-08-11 上海华虹Nec电子有限公司 Hdp cvd工艺淀积介质膜时减少二氧化硅的方法
KR20130048677A (ko) * 2009-05-26 2013-05-10 엥떼르위니베르시테르 미크로엘렉트로니카 쌍트륌 베제드두블르베 기판에 유기 재료 층을 형성시키는 방법
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012112187A1 (en) 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US8643151B2 (en) * 2011-02-28 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for semiconductor devices
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10096464B2 (en) 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US9941111B2 (en) * 2015-05-29 2018-04-10 Infineon Technologies Ag Method for processing a semiconductor layer, method for processing a silicon substrate, and method for processing a silicon layer
CN111128718A (zh) * 2019-12-26 2020-05-08 华虹半导体(无锡)有限公司 间隙填充方法

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) * 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
KR910006164B1 (ko) * 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5030881A (en) * 1990-07-02 1991-07-09 Rca Licensing Corporation Color picture tube with shadow mask having improved aperture border
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) * 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
WO1997024761A1 (en) * 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5953635A (en) * 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5990000A (en) * 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) * 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) * 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US20050073671A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US20050074698A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US7142282B2 (en) * 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US20050085085A1 (en) * 2003-10-17 2005-04-21 Yan Borodovsky Composite patterning with trenches
US20050088633A1 (en) * 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
US7163896B1 (en) * 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications

Also Published As

Publication number Publication date
CN100483646C (zh) 2009-04-29
KR20060081350A (ko) 2006-07-12
KR101289795B1 (ko) 2013-07-26
TW200624589A (en) 2006-07-16
US20060154494A1 (en) 2006-07-13
CN1819123A (zh) 2006-08-16
US20080063813A1 (en) 2008-03-13
US8414747B2 (en) 2013-04-09

Similar Documents

Publication Publication Date Title
TWI328618B (en) High-throughput hdp-cvd processes for advanced gapfill applications
JP5108484B2 (ja) 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
JP5405004B2 (ja) 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
US6802944B2 (en) High density plasma CVD process for gapfill into high aspect ratio features
US7097886B2 (en) Deposition process for high aspect ratio trenches
JP5225081B2 (ja) 堆積・エッチングシーケンスを用いたギャップ充填
TWI479044B (zh) 硼膜界面工程
JP5197256B2 (ja) Hdpプロセスにおけるエッチングレートドリフトの削減
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
JP2016503966A (ja) 高密度プラズマを実施する窒化ケイ素間隙充填
TWI352390B (en) Impurity control in hdp-cvd dep/etch/dep processes
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
TW201308431A (zh) Psg間隙填充所用之整合製程調整
TW200908097A (en) Gapfill extension of HDP-CVD integrated process modulation SiO2 process
TW200538578A (en) Microcontamination abatement in semiconductor processing
JPH05129276A (ja) 絶縁膜製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees