KR20060081350A - 개선된 갭 충전 애플리케이션을 위한 고-처리량hdp-cvd 프로세스 - Google Patents

개선된 갭 충전 애플리케이션을 위한 고-처리량hdp-cvd 프로세스 Download PDF

Info

Publication number
KR20060081350A
KR20060081350A KR1020060001215A KR20060001215A KR20060081350A KR 20060081350 A KR20060081350 A KR 20060081350A KR 1020060001215 A KR1020060001215 A KR 1020060001215A KR 20060001215 A KR20060001215 A KR 20060001215A KR 20060081350 A KR20060081350 A KR 20060081350A
Authority
KR
South Korea
Prior art keywords
deposition
flow
sputtering
gas
oxide film
Prior art date
Application number
KR1020060001215A
Other languages
English (en)
Other versions
KR101289795B1 (ko
Inventor
보 퀴
영 에스. 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060081350A publication Critical patent/KR20060081350A/ko
Application granted granted Critical
Publication of KR101289795B1 publication Critical patent/KR101289795B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 프로세싱 챔버에 배치된 기판 상에 실리콘 옥사이드 막을 증착하는 방법이 제공된다. 기판은 인접한 융기 표면들 사이에 형성된 갭을 갖는다. 실리콘 함유 가스, 산소 함유 가스 및 유동성 가스는 기판 프로세싱 챔버 내로 흐른다. 유동성 가스는 5 amu 이하의 평균 분자량을 갖는다. 제 1 고밀도 플라즈마는 제 1 증착/스퍼터링율에 의해 정해진 상대적 기여분을 갖는 동시 증착 및 스퍼터링 성분들을 갖는 제 1 증착 프로세스로 기판 위에서 갭 내에 실리콘 옥사이드 막의 제 1 부분을 증착하기 위하여 실리콘 함유 가스, 산소 함유 가스 및 유동성 가스로부터 형성된다. 제 2 고밀도 플라즈마는 제 2 증착/스퍼터링율에 의해 정해진 상대적 기여분을 갖는 동시 증착 및 스퍼터링 성분들을 갖는 제 2 증착 프로세스로 기판 위에서 갭 내에 실리콘 옥사이드 막의 제 2 부분을 증착하기 위하여 실리콘 함유 가스, 산소 함유 가스 및 유동성 가스로부터 형성된다. 제 2 증착/스퍼터링율은 제 1 증착/스퍼터링율 이하이다. 각각의 제 1 및 제 2 증착/스퍼터링율은 순증착율과 블랭킷 스퍼터링율의 합 대 블랭킷 스퍼터링율의 비율로서 정의된다.

Description

개선된 갭 충전 애플리케이션을 위한 고-처리량 HDP-CVD 프로세스{HIGH-THROUGHPUT HDP-CVD PROCESSES FOR ADVANCED GAPFILL APPLICATIONS}
도 1은 종래의 갭 충전 프로세스 동안의 보이드의 형성을 예시하는 개략적 단면도를 제공한다.
도 2는 복수 개의 얕은-트렌치-절연 구조를 포함하는 부분적으로 완성된 집적회로의 단순화된 단면도이다.
도 3a 및 도 3b는 각각 구조 내 조밀하게 채워진 영역 및 개방 영역의 갭 충전 특성들을 예시하는 개략적 다이어그램이다.
도 4는 본 발명의 일 실시예의 막 증착 방법을 예시하는 흐름도이다.
도 5는 본 발명의 또 다른 실시예의 막 증착 방법을 예시하는 흐름도이다.
도 6은 도 5의 방법을 사용하여 재료가 갭에 증착되는 방법을 예시하는 개략도이다.
도 7A-도 7C는 본 발명의 다른 실시예에서 막을 증착하기 위한 대안적 방법을 예시하는 흐름도이다.
도 8A는 본 발명의 방법들이 실시될 수 있는 고밀도 플라즈마 화학 기상 증착 시스템의 일 실시예에 대한 단순화된 다이어그램이다.
도 8B는 도 8A의 예시적 프로세싱 시스템과 함께 사용될 수 있는 가스 링의 단순화된 단면도이다.
반도체 기술의 발전에 직면하는 끊임없는 도전 중 하나는 회로 엘리먼트와 상호연결부 사이에 의사 상호작용을 유도하지 않으면서 기판 상의 회로 엘리먼트들과 상호연결부들의 밀도를 증가시키고자 하는 것이다. 원치 않는 상호작용은 전형적으로 엘리먼트들을 물리적으로 그리고 전기적으로 분리하기 위하여 전기적 절연 재료로 충전되는 갭 또는 트렌치를 제공함으로써 방지된다. 그러나, 회로 밀도가 증가함에 따라, 이들 갭의 폭은 감소되고, 갭의 종횡비가 증가하여 보이드를 남겨두지 않도록 갭을 충전하는 것이 점점 더 어려워지고 있다. 갭이 완전히 충전되지 않을 때 보이드의 형성은 예를 들어, 절연 재료 내에 불순물을 트래핑함으로써 완성된 장치의 동작에 악영향을 줄 수 있기 때문에 바람직하지 않다.
그러한 갭 충전 애플리케이션에 사용되는 공통적인 기술은 화학 기상 증착("CVD") 기술이다. 종래의 열적 CVD 프로세스는 목적하는 막을 생성하기 위해 열 유도 화학 반응이 일어나는 기판 표면에 반응성 가스들을 공급한다. 플라즈마 CVD("PECVD") 기술은 기판 표면 근처의 반응 존에 무선-주파수("RF") 에너지를 인가함으로써 반응 가스의 여기 및/또는 해리를 증진하고, 그에 의해 플라즈마를 형성한다. 플라즈마에서 종들의 높은 반응성은 화학 반응이 일어나는데 요구되는 에너지를 감소시키고, 그리하여, 종래의 열적 CVD 프로세스들에 비해 상기 CVD 프로 세스에 요구되는 온도를 낮춘다. 이러한 이점들은 고밀도 플라즈마("HDP") CVD 기술에 의해 더 이용될 수 있고, 고밀도 플라즈마 CVD 기술에서는 조밀한 플라즈마가 낮은 진공 압력에서 형성되어 플라즈마 종들이 훨씬 더 높은 반응성을 갖는다. 각각의 이러한 기술들은 "CVD" 기술의 범위에 폭넓게 해당하고, 각각의 기술은 특정 애플리케이션에 대해 더 적합하거나 덜 적합한 특성들을 갖는다.
HDP-CVD 시스템은 표준 용량성 결합 플라즈마 CVD 시스템의 밀도보다 적어도 대략 100배 이상 더 큰 플라즈마를 형성한다. HDP-CVD 시스템들의 예는 다른 것들 가운데 유도성 결합 플라즈마 시스템 및 전자 사이클로트론 공진(ECR) 플라즈마 시스템을 포함한다. HDP-CVD 시스템은 일반적으로 저밀도 플라즈마 시스템보다 더 낮은 압력 범위에서 동작한다. HDP-CVD 시스템에 채택된 낮은 챔버 압력은 긴 평균-자유-경로 및 감소된 각 분포를 갖는 활성종들을 제공한다. 이들 요소들은 플라즈마 밀도와 결합하여 근접하게 이격된 갭들의 가장 깊은 부분들조차 도달하는 플라즈마로부터 나온 현저히 다수의 구성성분들에 기여하고, 저밀도 플라즈마 CVD 시스템에서 증착된 막들과 비교하여 개선된 갭 충전 능력을 가진 막을 제공한다.
HDP-CVD 기술들에 의해 증착된 막들이 개선된 갭 충전 특징들을 갖도록 하는 다른 요소는 막 증착과 동시에 플라즈마의 고밀도에 의한 스퍼터링을 촉진하는 것이다. HDP 증착 프로세스들의 스퍼터링 성분은 융기 표면의 코너와 같은 특정 피쳐 상의 증착을 느리게 하고, 그에 의해 HDP 증착된 막들의 갭 충전 능력을 증가시키는 데에 기여한다. 소정의 HDP-CVD 시스템은 스퍼터링 효과를 더욱 증진하기 위하여 아르곤 또는 유사한 무거운 불활성 가스를 도입한다. 이러한 HDP-CVD 시스템 들은 전형적으로 기판 쪽으로 플라즈마를 바이어스하는 전기장의 형성을 가능케 하는 기판 지지 받침대 내 전극을 채택한다. 전기장은 주어진 막에 대하여 더 양호한 갭 충전 특징을 제공하기 위하여, 그리고 스퍼터링을 더 증진하기 위하여 HDP 증착 프로세스에 걸쳐 인가될 수 있다.
동시 증착/스퍼터링 속성 때문에, 초기에는 HDP-CVD 프로세스가 거의 어떠한 애플리케이션에서 형성되었던 갭 또는 트렌치도 충전할 수 있다는 것이 초기에 고려되었다. 그러나, 반도체 제조자들은 HDP-CVD 프로세스들이 충전할 수 있는 갭들의 종횡비에 대한 실제적인 한계가 존재함을 발견하였다. 예를 들어, 실리콘 옥사이드 갭 충전 막을 증착하기 위하여 공통으로 사용된 한 가지 HDP-CVD 프로세스는 실란 SiH4, 분자 산소 O2 및 아르곤 Ar을 포함하는 프로세스 가스로부터 플라즈마를 형성한다. 상기와 같은 프로세스가 특정 좁은 폭 고 종횡비 갭들을 충전하기 위하여 사용될 때, 프로세스 가스의 아르곤에 의해 야기된 스퍼터링은 갭 충전 노력을 방해할 수 있음이 보고되었다. 특히, 프로세스의 아르곤에 의해 스퍼터링된 재료는 하위 부분들에서보다 더 빠른 속도로 충전되고 있는 갭의 측벽의 상위 부분들 상에 재증착됨이 보고되었다. 이것은 차례로 갭이 완전히 충전되기 이전에 재성장의 상위 영역들이 결합한다면 갭 내 보이드의 형성을 야기할 수 있다.
도 1은 소정의 CVD 프로세스들과 관련된 잠재적 갭 충전 한계를 예시하기 위하여 상이한 증착 단계들에서의 실리콘 옥사이드 막의 개략적 단면도를 제공한다. 갭 충전 문제는 그러한 문제를 더 잘 예시하기 위하여 다소 과장된 형태로 도시되 었다. 도 1의 상부 부분은 갭(120)이 132로 표시된 갭의 바닥에서 수평한 표면을 갖고, 수평 표면들(122)을 갖는 2개의 인접한 피쳐들(124 및 128)에 의해 정의된 초기 구조(104)를 보여준다. 구조(108), 즉, 최상부로부터 도면의 제 2 부분에 도시된 바와 같이, 종래의 HDP-CVD 실리콘 옥사이드 증착 프로세스는 갭(120) 바닥의 수평 표면(132) 상으로의 직접 증착 및 피쳐(124 및 128) 위의 수평 표면들(122) 상으로의 직접 증착을 야기한다. 그러나, 그것은 또한 실리콘 옥사이드 막이 성장할 때 실리콘 옥사이드 막으로부터 스퍼터링된 재료의 재결합으로 인한 갭(120)의 측벽(140) 상의 간접 증착("재증착"으로 언급됨)을 야기한다. 특정의 작은 폭 고 종횡비 애플리케이션에서, 연속된 실리콘 옥사이드 막의 성장은 막이 측벽의 하위 부분들 상에 측면으로 성장하는 비율을 초과하는 성장율로 측벽(140)의 상위 섹션 상의 형성물(136)이 서로를 향하여 성장하도록 한다. 이러한 트렌드는 구조물(108 및 112)에 도시되고, 구조물(116)의 최종 결과는 막 내의 보이드(144)의 형성이다. 보이드 형성 가능성은 재증착율 및 재증착 특징에 바로 직접적으로 관련된다.
따라서, 갭 충전 기술을 개선하기 위하여 당업계의 일반적 요구가 여전히 존재한다.
본 발명의 실시예들은 갭 충전 능력을 개선하는 실리콘 옥사이드 막을 증착하는 방법을 제공한다. 조밀 영역 및 개방 영역 둘 다를 포함하는 기판들에 특히 적합한 소정의 실시예들은 HDP-CVD 프로세스로부터 초기에 더 높은 증착 기여분으 로 갭들의 일부를 충전하고, 갭들의 부가 부분을 충전하기 위하여 더 낮은 증착 기여분이 수반된다. 다른 실시예들은 보이드 형성 이전에 갭을 재개방하기 위하여 증착 단계들 사이에서 중간에 있는 재료를 제거하기 위하여 수소계 플라즈마를 사용한 예상치 않은 화학 효과를 사용한다. 소정의 경우에, 이러한 실시예들은 두 양상의 실시예들을 사용하는 특정 프로세스들과 중복된다.
그리하여, 제 1 세트의 실시예들에서, 기판 프로세싱 챔버에 배치된 기판 상에 실리콘 옥사이드 막을 증착하는 방법이 제공된다. 기판은 인접하는 융기 표면들 사이에 형성된 갭을 갖는다. 실리콘 함유 가스, 산소 함유 가스 및 유동성 가스는 기판 프로세싱 챔버 내로 흐른다. 유동성 가스는 5 amu 이하의 평균 분자량을 갖는다. 제 1 고밀도 플라즈마는 제 1 증착/스퍼터링율에 의해 정해진 상대적 기여분을 갖는 동시 증착 및 스퍼터링 성분들을 갖는 제 1 증착 프로세스로 기판 위에서 갭 내에 실리콘 옥사이드 막의 제 1 부분을 증착하기 위하여 실리콘 함유 가스, 산소 함유 가스 및 유동성 가스로부터 형성된다. 제 2 고밀도 플라즈마는 제 2 증착/스퍼터링율에 의해 정해진 상대적 기여분을 갖는 동시 증착 및 스퍼터링 성분들을 갖는 제 2 증착 프로세스로 기판 위에서 갭 내에 실리콘 옥사이드 막의 제 2 부분을 증착하기 위하여 실리콘 함유 가스, 산소 함유 가스 및 유동성 가스로부터 형성된다. 제 2 증착/스퍼터링율은 제 1 증착/스퍼터링율보다 작다. 각각의 제 1 및 제 2 증착/스퍼터링율은 순증착율과 블랭킷 스퍼터링율의 합 대 블랭킷 스퍼터링율의 비율로서 정의된다.
소정의 실시예에서, 제 1 증착/스퍼터링율은 20 내지 100 사이이다. 또한 소정의 실시예에서, 제 2 증착/스퍼터링율은 10 이하이다. 제 2 고밀도 플라즈마는 제 1 고밀도 플라즈마를 소거하지 않으면서 프로세스 조건들을 변화시킴으로써 형성될 수 있다. 유동성 가스는 분자 수소 H2를 포함할 수 있고, 500 sccm 이상의 유량으로 기판 프로세싱 챔버 내로 흐를 수 있다. 또 다른 실시예에서, 유동성 가스는 헬륨 He을 포함한다. 실리콘 함유 가스는 모노실란 SiH4를 포함할 수 있고, 산소 함유 가스는 분자 산소 O2를 포함할 수 있다. 일 실시예에서, 실리콘 옥사이드 막의 제 1 부분은 50% 이하만큼 갭의 깊이를 감소시킨다. 소정의 경우에, 갭은 인접한 융기 표면들 사이에 형성된 복수 개의 갭들을 포함할 수 있고, 제 1 갭은 제 2 갭의 폭보다 적어도 5배 이상의 폭을 갖는다.
실시예들의 제 2 세트에서, 또한 기판 프로세싱 챔버에 배치된 기판 상에 실리콘 옥사이드 막을 증착하는 방법이 제공된다. 기판은 인접한 융기 표면들 사이에 형성된 갭을 갖는다. 제 1 가스 혼합물의 흐름은 기판 프로세싱 챔버에 제공된다. 제 1 가스 혼합물은 실리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 유동성 가스의 흐름을 포함한다. 제 1 고밀도 플라즈마는 동시 증착 및 스퍼터링 성분들을 갖는 제 1 증착 프로세스로 기판 위에서 갭 내에 실리콘 옥사이드 막의 제 1 부분을 증착하기 위하여 제 1 가스 혼합물로부터 형성된다. 실리콘 옥사이드 막의 제 1 부분은 5 amu 이하의 평균 분자량을 갖고 분자 수소 H2의 흐름을 포함하는 가스들의 흐름으로 형성된 제 2 고밀도 플라즈마에 노출된다. 그 후에, 제 2 가스 혼합물의 흐름이 기판 프로세싱 챔버에 제공된다. 제 2 가스 혼합물의 흐름은 실 리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 유동성 가스의 흐름을 포함한다. 제 3 고밀도 플라즈마는 동시 증착 및 스퍼터링 성분들을 갖는 제 2 증착 프로세스로 기판 위에서 갭 내에 실리콘 옥사이드 막의 제 2 부분을 증착하기 위하여 제 2 가스 혼합물로부터 형성된다.
상기와 같은 소정의 실시예들에서, 제 2 고밀도 플라즈마는 본질적으로 분자 수소 H2로 구성된 흐름으로 형성된다. 제 1 부분은 제 1 가스 혼합물의 흐름에 의해 구성된 실리콘 함유 가스의 흐름 및 산소 함유 가스의 흐름을 종결함으로써 제 2 고밀도 플라즈마에 노출될 수 있다. 부가하여, 제 2 가스 혼합물의 흐름은 실리콘 함유 가스의 종결된 흐름 및 산소 함유 가스의 종결된 흐름을 재개시함으로써 제공될 수 있다. 분자 수소 H2의 유량은 소정의 실시예들에서 500 sccm 이상의 유량으로 제공될 수 있고, 다른 실시예에서 1000 sccm 이상의 유량으로 제공될 수 있다.
프로세스는 반복적으로 사이클링될 수 있다. 예를 들어, 일 실시예에서, 실리콘 옥사이드 막의 제 2 부분은 5 amu 이하의 평균 분자량을 갖고 분자 수소의 흐름을 포함하는 가스들의 흐름으로 형성된 제 4 고밀도 플라즈마에 노출된다. 그 이후에, 제 3 가스 혼합물의 흐름은 기판 프로세싱 챔버에 제공된다. 제 3 가스 혼합물의 흐름은 실리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 유동성 가스의 흐름을 포함한다. 제 5 고밀도 플라즈마는 동시 증착 및 스퍼터링 성분들을 갖는 제 3 증착 프로세스로 기판 위에서 갭 내에 실리콘 옥사이드 막의 제 3 부분을 증착하기 위하여 제 3 가스 혼합물로부터 형성된다.
부가하여, 상대적 증착 및 스퍼터링 기여분은 상이한 증착 동안 가변될 수 있다. 예를 들어, 제 1 증착 프로세스는 20 내지 100 사이의 제 1 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 포함할 수 있고, 제 2 증착 프로세스는 10 이하의 제 2 증착/스퍼터링율에 의해 정해진 증착 및 스퍼터링 기여분을 포함할 수 있다. 다른 경우에, 제 1 증착 프로세스는 20 내지 100 사이의 제 1 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 갖는 제 1 부분 및, 그에 수반되는 10 이하의 제 2 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 갖는 제 2 부분을 포함할 수 있다. 유사하게, 제 2 증착 프로세스는 20 내지 100 사이의 제 1 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 갖는 제 1 부분 및, 그에 수반되는 10 이하의 제 2 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 갖는 제 2 부분을 포함할 수 있다.
본 발명의 속성 및 이점들에 대한 부가적인 이해는 명세서의 나머지 부분 및 도면을 참조하여 이루어질 수 있다.
본 발명의 실시예들은 고밀도 플라즈마 CVD 프로세스를 사용하여 기판 표면의 갭을 충전하기 위하여 실리콘 옥사이드 층을 증착하는 방법에 관한 것이다. 본 발명의 기술에 따라 증착된 실리콘 옥사이드 막들은 우수한 갭 충전 능력을 갖고, 예를 들어, 얕은 트렌치 절연("STI") 구조물들에서 생기는 갭들을 충전할 수 있다. 그리하여, 본 발명의 방법에 의해 증착된 막들은 여러 집적회로의 제조에 사용되기 에 적합하다.
본 발명의 실시예들에 따라 충전될 수 있는 구조물들의 타입은 도 2에 예시되고, 도 2는 부분적으로 완성된 집적회로(200)의 단순화된 단면도를 제공한다. 집적회로는 복수 개의 STI 구조물들을 포함하는 기판(204) 위에 형성되고, 각각의 STI 구조물은 전형적으로 기판(204)의 표면 위에 얇은 패드 옥사이드 층(220)을 형성하고 그 다음 패드 옥사이드 층(220) 위에 실리콘 나이트라이드 층(216)을 형성함으로써 구성된다. 그 다음, 나이트라이드 및 옥사이드 층들은 표준 포토리소그래피 기술들을 사용하여 패터닝되고, 트렌치들(224)은 기판(204) 내로 나이트라이드/옥사이드 스택을 관통해 에칭된다. 도 2는 집적회로가 트랜지스터들 또는 다른 활성 장치들로 상대적으로 조밀하게 채워진 영역들(208)을 포함할 수 있고, 상대적으로 분리된 개방 영역들(212)을 포함할 수 있음을 보여준다. 개방 영역들(212) 내 활성 장치들은 조밀하게 채워진 영역들(208)의 간격의 10배 이상 서로로부터 분리될 수 있고, 본 명세서에서 사용되는 "개방 영역들"은 갭들이 "조밀 영역" 내 갭의 폭의 적어도 5배 이상의 폭을 갖는 영역들인 것으로 고려된다.
본 발명의 실시예들은 양호한 갭 충전 속성들을 갖는 증착 프로세스를 사용하여 실리콘 옥사이드와 같은 전기적 절연 재료로 트렌치들(224)을 충전하기 위한 방법을 제공한다. 본 발명의 상이한 실시예들은 개방 영역들의 갭들을 충전하기에 더 적합한 반면, 본 발명의 다른 실시예들은 조밀 영역들의 매우 높은 종횡비 갭들을 충전하는데 특히 적합하다. 소정의 경우에, 이하에서 기술된 방법들에 의해 제공된 갭 충전 특성들은 개방 영역 및 조밀 영역 둘 다에 대하여 양호한 갭 충전 능 력을 갖고, 이것은 상기 기술들이 특정 애플리케이션에 대하여 특히 가치있게 한다. 소정의 경우에, 갭 충전 프로세스에 앞서, 초기 라이닝 층은 인시츄 스팀 생성("ISSG") 또는 다른 열적 옥사이드 층 또는 아마도, 실리콘 나이트라이드 층으로서 기판 위에 증착된다. 트렌치(224) 충전에 앞서 상기 라이너를 증착하는 것에 대한 한 가지 이점은 적절한 코너 라운딩을 제공하는 것이고, 코너 라운딩은 형성된 트랜지스터들의 초기 게이트 브레이크다운과 같은 영향을 회피하는데 도움이 될 수 있다. 부가하여, 상기 라이너는 CVD 증착 이후에 응력을 완화하는데 도움을 줄 수 있다.
본 명세서에서 사용되는 것으로서, 고밀도 플라즈마 프로세스는 동시 증착 및 스퍼터링 성분들을 포함하고 1011 이온/cm3 또는 그 이상의 이온 밀도를 갖는 플라즈마를 채택하는 플라즈마 CVD 프로세스이다. 고밀도 플라즈마의 결합된 증착 및 스퍼터링 특징들의 상대적 레벨들은 가스 혼합물을 제공하기 위하여 사용되는 유량, 플라즈마를 유지하기 위하여 인가되는 소스 전력 레벨, 기판에 인가되는 바이어스 전력 및 이와 유사한 것 같은 요소들에 좌우될 수 있다. 상기 요소들의 결합은 프로세스를 특징화하기 위하여 "증착/스퍼터링율"로 편리하게 정량화될 수 있고, 상기 "증착/스퍼터링율"은 때때로 D/S로 표기된다.
D/S ≡ {(순 증착율)+(블랭킷 스퍼터링율)}/(블랭킷 스퍼터링율)
증착/스퍼터링율은 증착이 증가함에 따라 증가되고, 스퍼터링이 증가함에 따라 감소된다. D/S의 정의에 사용된 것으로서, "순 증착율"은 증착 및 스퍼터링이 동시에 일어나고 있을 때 측정되는 증착율을 언급한다. "블랭킷 스퍼터링율"은 프로세스 방법이 증착 가스 없이 실행된 때 측정된 스퍼터링율이고, 프로세스 챔버 내 압력은 증착 동안의 압력으로 조정되고 스퍼터링율은 블랭킷 열적 옥사이드 상에서 측정된다.
다른 균등한 측정치들이 당업자들에게 공지된 바와 같이, HDP 프로세스의 상대적 증착 및 스퍼터링 기여분을 정량화하기 위하여 사용될 수 있다. 통상적인 대안 비율은 "에칭/증착율"이다.
E/D ≡{(소스만의 증착율)-(순 증착율)}/(소스만의 증착율)
에칭/증착율은 스퍼터링이 증가함에 따라 증가하고, 증착이 증가함에 따라 감소한다. E/D의 정의에 사용된 것으로서, "순 증착율"은 다시 증착 및 스퍼터링이 동시에 일어나고 있을 때 측정된 증착율을 언급한다. 그러나, "소스만의 증착율"은 프로세스 방법이 스퍼터링 없이 진행될 때 측정된 증착율을 언급한다. 본 발명의 실시예들은 본 명세서에서 D/S 비율의 용어로 기술된다. D/S 및 E/D는 서로 정확히 역수는 아니나, 서로 역의 관계에 있고 D/S 및 E/D 간의 변환은 당업자에게 자명할 것이다.
HDP-CVD 프로세스들의 주어진 단계에 대한 목적하는 D/S 비율은 일반적으로 선구체 가스들의 흐름을 포함함으로써, 소정의 경우에는 스퍼터링제로서 작용할 수도 있는 유동성 가스의 흐름을 포함함으로써 달성된다. 선구체 가스들에 의해 포함된 엘리먼트들은 목적하는 조성으로 막을 형성하기 위하여 반응한다. 예를 들어, 실리콘 옥사이드 막을 증착하기 위하여, 선구체 가스들은 실란 SiH4과 같은 실리콘 함유 가스 및 분자 산소 O2와 같은 산화제 가스 반응물을 포함할 수 있다. 도펀트들은 목적하는 도펀트를 가진 선구제 가스를 포함함으로써, 예를 들어, 막을 불화시키기 위하여 SiF4의 흐름을 포함함으로써, 막에 인을 가하기 위하여 PH3를 포함함으로써, 막을 붕화시키기 위하여 B2H6의 흐름을 포함함으로써, 막에 질소를 가하기 위하여 N2의 흐름을 포함함으로써, 그리고 이와 유사하게 막에 부가될 수 있다. 유동성 가스에는 H2의 흐름 또는 He의 흐름, 또는 심지어 Ne, Ar 또는 Xe와 같은 더 무거운 불활성 가스의 흐름을 포함한 불활성 가스의 흐름이 제공될 수 있다. 상이한 유동성 가스들에 의해 제공된 스퍼터링의 레벨은 그것의 원자량(또는 H2의 경우에는 분자량)과 역의 관계에 있고, H2는 He보다 훨씬 더 작은 스퍼터링을 생성한다. 본 발명의 실시예들은 일반적으로 5 amu 이하의 평균 분자량을 갖는 유동성 가스 흐름을 제공한다. 이것은 단일 저질량 가스의 흐름, 예를 들어, 거의 순수한 H2의 흐름 또는 거의 순수한 He의 흐름을 사용함으로써 달성될 수 있다. 대안적으로, 흐름은 때때로 다수의 가스들을 제공함으로써, 예를 들어, H2의 흐름 및 He의 흐름 둘 다를 HDP-CVD 프로세스 챔버에서 혼합하여 제공함으로써, 제공될 수 있다. 대안적으로, 가스는 때때로 H2/He의 흐름이 프로세스 챔버에 혼합된 상태로 제공되도록 사전에 혼합될 수 있다. 사전 혼합물의 상대적 유량 및/또는 농도를 5 amu 이하의 평균 분자량을 유지하도록 선택하면서, 더 높은 질량의 가스들의 개별적 흐름을 제공하거나, 사전 혼합물에 더 높은 질량의 가스들을 포함하는 것 또한 가능하다.
고 종횡비 구조에서, 저질량 유동성 가스들을 상대적으로 높은 유량으로 사용하는 것은 대체로 Ar과 같은 보다 전통적인 유동성 가스를 사용하는 것과 비교하여 갭 충전 능력을 개선하는 것으로 밝혀졌다. 이것은 갭의 폐쇄가 덜 빨리 일어나도록 유동성 가스로서 He 또는 H2를 사용함으로써 달성되는 재증착의 감소의 결과인 것으로 여겨진다. 그러나, 본 발명자들은 상기 저질량 유동성 가스의 사용이 개방 영역들에서의 코너 클리핑을 증가시키는 것을 발견하였다. 이러한 효과는 조밀하게 채워진 영역에서의 갭 및 개방 영역에서의 갭 각각에 대한 HDP 프로세스의 스퍼터링 성분의 효과를 보여주는 도 3a 및 도 3b를 참조하여 이해될 수 있다.
특히, 도 3a의 갭(304)은 고 종횡비 갭이고, HDP-CVD 프로세스를 사용하여 증착된 재료는 수평 표면들 위에서 특징적인 커스프(cusp) 구조(308)를 형성한다. 재증착은 재료(312)가 경로(316)에 따른 플라즈마 이온들의 충격에 응답하여 커스프(308)로부터 스퍼터링될 때 일어난다. 스퍼터링된 재료(312)는 갭(304)의 대향 측면 상의 측벽(324)과 마주치는 경로(320)를 따라간다. 이러한 효과는 재료가 갭 의 좌측면으로부터 우측면 상으로 스퍼터링될 때, 재료가 또한 갭의 우측면으로부터 좌측면으로 스퍼터링되도록 대칭적이다. 재료의 재증착은 코너의 클리핑을 야기하는 과도 스퍼터링을 방지한다.
이러한 대칭성은 도 3b에 도시된 개방 영역 구조(330)에서 예시된 바와 같이, 개방 영역들에서는 존재하지 않는다. 이러한 경우에, 증착은 유사한 커스프(308')를 야기하나, 재료(312')가 경로(316')를 따른 플라즈마 이온들의 충격에 응답하여 경로(320')를 따라 스퍼터링될 때, 갭의 대향 측면은 재증착이 과도 스퍼터링을 방지하기에는 너무 멀리 떨어져 있다. 도 3b에 도시된 구조의 코너는 갭의 대향 측면으로부터 스퍼터링되는 수용 재료의 보상 효과없이 도 3a에 도시된 구조의 코너에서 일어나는 것과 동일한 재료 분출로 문제가 된다. 결과적으로, 코너를 클리핑하고 하부구조를 손상할 위험이 증가된다.
본 발명의 실시예에서, 프로세스의 초기 부분이 더 큰 증착 성분 및 감소된 스퍼터링 성분에 의해 지배되도록, 상기 코너 클리핑은 초기에 높은 D/S 비율을 갖는 프로세스를 사용함으로써 개방 영역들에서 회피된다. 후속적으로, 소정의 재료가 하부 구조를 보호하기 위하여 증착된 이후에, D/S 비율은 증가된 스퍼터링 성분이 재료가 갭 충전을 완료하기 위하여 증착될 때 갭을 개방 상태로 유지하도록 감소된다. 이것은 프로세스가 재증착 효과의 이득만큼 강하게 이득이 없는 갭 구조와 결합하여 저질량 유동성 가스의 사용으로부터 야기되는 효과들의 결합을 해결하기 위하여 사용되는 동안 D/S 비율을 감소시킨다. 그러한 D/S 비율의 감소는 일반적으로 초기 공격성 갭으로 갭 충전을 개선하기 위하여 D/S 비율을 증가시키는 보 다 전통적인 갭 충전 기술들과 반대이다.
이러한 기술을 사용하는 예시적인 프로세스는 도 4의 흐름도로 예시된다. 프로세스는 기판을 프로세스 챔버 내로 이송함으로써 블록(404)에서 시작된다. 기판은 전형적으로 반도체 기판이고, 예를 들어, 200-mm 또는 300-mm 직경의 실리콘 기판이다. 선구체 가스들의 흐름은 실리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 저질량 유동성 가스의 흐름을 포함하여 블록(408)에서 챔버에 제공된다. 표 Ⅰ는 모노실란 SiH4, 분자 산소 O2, 및 H2의 흐름을 사용하여 비도핑 실리케이트 글래스("USG") 막의 증착을 위한 예시적인 유량을 제공하나, 도펀트 소스를 포함한 다른 선구체 가스들 및 5 am 이하의 평균 분자량을 제공하는 다른 유동성 가스들 또한 앞서 설명된 바와 같이 사용될 수 있다.
표 Ⅰ: USG 증착을 위한 예시적인 유량
Figure 112006000705710-PAT00001
표에 표시된 바와 같이, 선구체 가스들의 유량은 200-mm 및 300-mm 직경 기판에 대하여 유사할 수 있으나, 유동성 가스의 유량은 일반적으로 더 높다.
고밀도 플라즈마는 챔버 내로 에너지를 결합시킴으로써 블록(412)에서 가스 흐름으로부터 형성된다. 고밀도 플라즈마를 생성하기 위한 통상적인 기술은 rf 에너지를 유도성 결합하는 것이다. D/S 비율은 가스들에 대한 유량에 의해서 결정될 뿐만 아니라, 챔버 내로 결합된 에너지의 전력 밀도에 의해서, 기판에 인가될 수 있는 바이어스의 강도에 의해, 챔버 내 온도에 의해, 챔버 내 압력에 의해, 다른 그러한 요소들에 의해서도 결정된다. 막의 초기 부분의 증착에 대하여, 상기 프로세싱 파라미터들은 블록(416)에서 표시된 바와 같이, 20-100의 범위 내에서 D/S 비율을 제공하도록 선택된다. 증착은 블록(420)에서 표시된 바와 같이, 갭을 부분적으로 충전하기 위하여 상기 D/S 비율로 진행하도록 하고, 프로세스 조건들은 블록(424)에서 더 낮은 D/S 비율을 제공하기 위하여 변경된다. 소정의 실시예들에서, 갭은 그것의 깊이가 최초 깊이로부터 50% 이하만큼 감소하도록 블록(420)에서 충전된다. 예를 들어, 6:1의 종횡비 및 약 0.90 ㎛의 폭을 갖는 갭에 대하여, 갭이 5.4 ㎛의 초기 깊이를 갖는다면, 블록(420)에서의 충전은 갭의 깊이를 약 3.3 ㎛로 감소시킬 수 있고, 약 40%의 높이 감소가 일어난다.
블록(424)에서 표시된 바와 같이, 블록(428)에서의 갭의 나머지를 충전하기 위한 D/S 비율에 대한 적절한 값은 10 이하의 값들이다. 이러한 값들은 다시 프로세싱 파라미터들에 의해 결정되고, 막의 나머지의 증착 동안에 갭을 개방된 상태로 유지하기 위하여 증가된 스퍼터링 성분을 제공한다. 갭이 충전된 이후에, 플라즈 마는 블록(432)에서 소거되고, 기판은 블록(436)에서 프로세스 챔버 밖으로 이송된다.
그리하여, 프로세스는 연속 플라즈마를 사용하여 단일 챔버에서 일어나는 인시츄 프로세스로서 기술되고 있으나, 이것은 본 발명의 필수조건은 아니다. 대안적인 실시예에서, 플라즈마는 소거되어 프로세스의 상이한 부분들에 대해 재개시될 수 있고, 프로세스의 상이한 부분들이 상이한 챔버들에서 수행될 수 있다.
본 발명의 또 다른 실시예가 도 5의 흐름도를 사용하여 예시되고, 증착된 실리콘 옥사이드를 제거하기 위하여 수소 플라즈마가 사용될 수 있다는 본 발명자들에 의한 예상치 못한 발견을 이용한다. 제거는 반응 SiO2+2H2 -> SiH4+O2에 따라 화학적으로 진행되고, 약 50-100 Å/min의 상대적으로 느린 제거율을 제공하며, 챔버에 대한 H2 유량은 1000 sccm 정도이다. 이러한 느린 제거율은 할로겐 화학물에 기초한 화학 에칭 프로세스, 또는 재료를 공격적으로 스퍼터링하기 위하여 낮은 D/S 비율을 가진 프로세싱 조건들을 제공하는 기계적 프로세스와 같은 대안적인 제거 프로세스와 함께 이용가능하지 않은 제거 프로세스보다 개선된 정확도를 제공한다. NF3의 유량으로부터 형성된 플라즈마로부터의 결과물과 같은, 할로겐계 에칭 화학물의 사용은 AlF3와 같은 알루미늄 할로겐 부산물의 형성 때문에 챔버 세라믹 상에 원치 않는 응력을 야기할 수 있다.
이러한 실시예를 기술함에 있어, 도 5 및 도 6을 동시에 참조하고, 도 6은 도 5에 관련하여 기술된 프로세스 동안의 상이한 지점에서 구조의 단순화된 단면도 를 제공한다. 구조는 STI 구조의 일부로서 에칭된 트렌치들을 갖는 기판으로서 도시되나, 본 명세서에서 기술된 원리들은 다른 것들 가운데, IMD 및 PMD 애플리케이션을 포함하여, 충전될 갭들이 한정된 임의의 구조에 보다 일반적으로 적용될 수 있다. 도 5에 도시된 바와 같이, 프로세스는 블록(504)에서 프로세스 챔버에 기판을 배치시킴으로써 시작된다. 기판은 충전될 하나 이상의 갭들을 형성하는 피쳐들(620)로 도 6에 개략적으로 도시된 초기 구조(604)를 갖는다. 피쳐(620)는 예를 들어, 에칭된 트렌치들, 인접한 금속 라인들, 트랜지스터 게이트들 또는 다른 피쳐들 사이의 기판 영역들일 수 있다. 소정의 경우에, 구조(604)는 부가적으로 갭의 내부를 따라 융기된 피쳐들 및/또는 실리콘 나이트라이드 라이너 위의 실리콘 나이트라이드 부분들을 포함한다. 상기와 같은 라인의 존재는 갭의 종횡비를 훨씬 더 증가시킬 수 있다.
일단 기판이 적절히 배치되면, SiH4와 같은 실리콘 함유 가스, O2와 같은 산소 함유 가스, 및 분자 수소 H2가 블록(508)에서 챔버에 제공된다. 고밀도 플라즈마는 블록(512)에서 챔버 내로 rf 에너지를 유도성 결합시킴으로써 가스 흐름으로부터 형성되고, 갭이 블록(516)에서 부분적으로 충전되게 한다. 앞서 설명된 바와 같이, 블록(516)은 중간 구조(608)에 대하여 도시된 바와 같이, 커스프(636)의 형성을 야기하고, 재증착은 실리케이트 글래스가 측벽에서보다 하부 구조의 코너 근처에서 더 두껍게 증착되도록 한다.
소정의 증착된 실리케이트 글래스를 제거하고 부가적인 증착을 위해 갭을 재 형성하기 위하여, 선구체 실리콘 함유 및 산소 함유 가스들의 흐름 및 공급된 임의의 도펀트 가스들의 흐름은 블록(520)에서 종결된다. 재료의 제거는 감소된 커스프 높이(640) 및 재형성된 프로파일을 갖는 부가의 중간 구조(612)를 생성하기 위하여 남아있는 수소 플라즈마의 화학 반응으로부터 야기된다. 주요 제거 메커니즘은 앞서 기술된 화학 반응으로부터 야기된 반면, 그것은 특정 실시예들에서 개선될 수 있다. 예를 들어, 바이어스가 플라즈마 이온을 유인하여 제거에 이방성을 도입하기 위하여 기판에 인가될 수 있다. 다른 이방성은 챔버에 걸쳐 상이한 유량 특성을 제공하기 위하여 챔버 내로 H2가스의 상이한 흐름을 사용함으로써 도입될 수 있고, 제거가 기판 전체에 걸쳐 선택적으로 수행되게 한다. 부가하여, 비록 본 발명의 소정의 실시예들은 일반적으로 소스들의 평균 분자량이 5 amu 이하인 경우로 제한되나, 플라즈마는 기계적 스퍼터링 효과를 증가시키기 위하여 수소가 아닌 종들을 포함할 수 있다.
재료의 제거는 원래 피쳐들의 기본 형태는 유지되면서 더 낮은 엄격한 종횡비를 갖도록 증착된 막(640)을 형성함으로써 구조(612)를 야기한다. 갭을 재개방하기 위하여 재료가 제거된 이후에, 선구체 가스들의 흐름은 갭의 나머지가 실질적으로 보이드가 없는 갭 충전을 제공하는 막(644)을 갖는 구조(616)를 생성하기 위해 블록(532)에서 충전될 수 있도록 블록(528)에서 재개시된다. 비록 보다 공격적인 갭들이 더 많은 개수의 삽입된 증착 및 제거 단계들로 충전될 수 있지만, 많은 경우에, 갭은 상기 2가지 증착 단계들 및 단일 중간 제거 단계로 충전될 수 있다. 갭이 충전된 이후에, 기판은 블록(536)에서 챔버 밖으로 이송된다.
다시, 프로세스는 증착 및 제거 단계들이 연속 플라즈마로 단일 챔버에서 수행되는 인시츄 프로세스로서 기술된다. 다른 실시예에서, 플라즈마는 단계들 사이에서 소거될 수 있고, 가스 흐름 및 다른 파라미터들은 다음 단계를 위한 준비시 조정되고, 플라즈마는 재형성된다. 그러한 실시예들은 또한 단일 챔버에서(또는 다챔버 시스템의 상이한 챔버들에서) 인시츄 프로세스로서 수행될 수 있거나, 또는 상이한 챔버들에서 엑스시츄(ex situ)로서 수행될 수 있다. 소정의 경우에, 인시츄 프로세스들은 처리량 및 성능 관점에서 바람직하다.
다른 실시예에서, 감소하는 D/S 비율의 사용과 관련하여, 도 4와 연결지어 기술된 프로세스들 및 수소계 플라즈마로 실리케이트 글래스 재료의 화학적 제거와 관련하여 도 5에 연결지어 기술된 프로세스들이 결합될 수 있다. 도 7a-도 7c는 각각 프로세스들이 상이한 방식으로 어떻게 결합될 수 있는지를 도시하는 흐름도를 제공한다.
우선, 도 4에 사용된 D/S 비율에서의 변화는 증착들(516 및/또는 532) 중 어느 하나 또는 둘 다가 D/S 비율 변화를 사용하게 함으로써 도 5의 프로세스에 통합될 수 있다. 그리하여, 도 7a는 명시적으로 도 5의 블록(516)이 갭의 제 1 부분이 20 내지 100 사이의 D/S 비율을 제공하는 프로세스 조건들로 충전되는 제 1 블록(762) 및 그 후에 갭의 제 2 부분이 10 이하의 D/S 비율을 제공하는 프로세스 조건들로 충전되는 제 2 블록(764)을 포함할 수 있음을 예시한다. 그와 같이 초기에 높은 D/S 비율을 포함하는 것은 앞서 기술된 것과 동일한 이유로 개방 영역들에서 의 코너 클리핑을 회피하는데 유용하다.
도 7b는 유사하게 도 5의 블록(532)이 갭의 제 1 부분이 20 내지 100 사이의 D/S 비율을 제공하는 프로세스 조건들로 충전되는 제 1 블록(766) 및 그 후에 갭의 제 2 부분이 10 이하의 D/S 비율을 제공하는 프로세스 조건들로 충전되는 제 2 블록(768)을 포함할 수 있음을 명시적으로 예시한다. 도 5의 블록(524)에서 재료의 제거 이후에 그와 같이 높은 D/S 비율을 포함하는 것은 제거된 재료 양이 코너들을 보호하는데 불충분한 재료가 존재하기 때문에 개방 영역들에서의 코너 클리핑의 위험을 일으키는 상황 하에서 유용할 수 있다.
도 7c는 높은 D/S 비율이 초기에 사용되어, 개방 영역들에서 코너 클리핑 방지를 제공하나, 수소 플라즈마에 대한 노출에 의해 재료 제거 이후에 더 낮은 D/S 비율을 사용하는 프로세스를 예시한다. 그러한 실시예는 재료의 제거가 개방 영역들에서조차 코너 클리핑의 위험을 제공하기에 공격적이지 않은 애플리케이션들에서 적합하고, 여기에서 실리케이트 글래스 재료는 코너들을 보호하기 위하여 제거 이후조차 남아있도록 충분히 존재한다. 연속 플라즈마를 사용하여 인시츄 프로세스와 같은 프로세스를 수행하기 위하여, 기판은 블록(704)에서 챔버 내로 이송되고, 실리콘 함유 가스, 산소 함유 가스 및 H2의 흐름이 블록(708)에서 제공되어, 블록(712)에서 고밀도 플라즈마가 챔버 내에서 형성될 수 있다. 갭은 20 내지 100 사이의 D/S 비율을 제공하는 프로세스 파라미터들을 사용하여 블록(716)에서 부분적으로 충전된다. 선구체 실리콘 함유 및 산소 함유 가스들의 흐름은 블록(720)에서 종결되어, 증착된 막의 일부는 블록(724)에서 수소 플라즈마를 사용하여 제거될 수 있다. 선구체 실리콘 함유 및 산소 함유 가스들의 흐름은 블록(728)에서 재개시되고, 프로세스 파라미터들은 갭의 나머지가 10 이하의 D/S 비율로 블록(732)에서 충전되도록 설정된다. 갭 충전 프로세스가 완료된 이후에, 기판은 블록(736)에서 챔버 밖으로 이송된다.
예시적인 기판 프로세싱 시스템
본 발명자들은 캘리포니아 산타 클라라의 APPLIED MATERIALS, INC.에 의해 제조된 ULTIMA™ 시스템으로 본 발명의 실시예들을 구현하였고, 상기 시스템의 일반적 설명은 1996년 7월 15일자로 출원된 본원의 출원인과 동일 출원인의 미국 특허 제 6,170,428호 "SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP-CVD REACTOR"(Rred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ichikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong, Ashok Sinha에 의해 발명됨)에 제공되고, 개시된 전체 내용은 참조로서 본 명세서에 결합된다. 상기 시스템의 개관은 도 8a 및 도 8b를 참조하여 이하에서 설명된다. 도 8a는 일 실시예로 상기 HDP-CVD 시스템(810)의 구조를 개략적으로 예시한다. 상기 시스템(810)은 챔버(813), 진공 시스템(870), 소스 플라즈마 시스템(880A), 바이어스 플라즈마 시스템(880B), 가스 전달 시스템(833), 및 원격 플라즈마 세정 시스템(850)을 포함한다.
챔버(813)의 상위 부분은 알루미늄 옥사이드 또는 알루미늄 나이트라이드와 같은 세라믹 절연 재료로 이루어진 돔(814)을 포함한다. 돔(814)은 플라즈마 프로세싱 영역(816)의 상부 경계를 한정한다. 플라즈마 프로세싱 영역(816)은 기판(817)의 상부 표면 및 기판 지지 부재(818)에 의해 바닥 상에서 제한된다.
가열 플레이트(823) 및 냉각 플레이트(824)는 돔(814) 위에 배치되고 돔(814)과 열적으로 결합된다. 가열 플레이트(823) 및 냉각 플레이트(824)는 돔 온도가 약 100℃ 내지 200℃의 범위에 걸쳐 약 ±10℃ 내에서 제어되게 한다. 이것은 여러 프로세스에 대하여 돔 온도가 최적화되게 한다. 예를 들어, 증착 프로세스보다 세정 또는 에칭 프로세스에 대해서 돔 온도를 더 높게 유지하는 것이 바람직하다. 돔 온도의 정확한 제어는 또한 챔버 내 박편 또는 입자 개수를 감소시키고, 증착된 층과 기판 사이의 접착을 개선한다.
챔버(813)의 하위 부분은 챔버를 진공 시스템에 결합시키는 몸체 부재(822)를 포함한다. 기판 지지 부재(818)의 베이스 부분(821)은 몸체 부재(822) 상에 설치되고, 몸체 부재(822)와 연속적인 내부 표면을 형성한다. 기판들은 챔버(813)의 측면 내에서 삽입/제거 개구(미도시)를 통해 로봇 블레이드(미도시)에 의해 챔버(813) 안팎으로 이송된다. 리프트 핀들(미도시)이 상승되고, 그 다음 상위 로딩 위치(857)에서의 로봇 블레이드로부터 기판이 기판 지지 부재(818)의 기판 수용부(857) 상에 배치되는 하위 프로세싱 위치(856)로 기판을 이동시키기 위해 모터(미도시)의 제어 하에서 하강된다. 기판 수용부(819)는 기판 프로세싱 동안에 기판 지지 부재(818)에 기판을 고정하는 정전척(820)을 포함한다. 바람직한 실시예에 서, 기판 지지 부재(818)는 알루미늄 옥사이드 또는 알루미늄 세라믹 재료로 구성된다.
진공 시스템(870)은 쓰로틀 몸체(825)를 포함하고, 쓰로틀 몸체(825)는 트윈-블레이드 쓰로틀 밸브(826)를 하우징하며 게이트 밸브(827) 및 터보-분자 펌프(828)에 부착된다. 쓰로틀 몸체(825)는 가스 흐름에 최소의 장애를 제공하고 대칭적 펌핑을 가능케 한다. 게이트 밸브(827)는 쓰로틀 몸체(825)로부터 펌프(828)를 분리시킬 수 있고, 또한 쓰로틀 밸브(826)가 완전히 개방될 때 배출 유량 능력을 제한함으로써 챔버 압력을 제어할 수 있다. 쓰로틀 밸브, 게이트 밸브, 터보-분자 펌프의 배열은 약 1 millitorr 내지 약 2 torr까지 챔버 압력이 정확하고 안정적으로 제어될 수 있게 한다.
소스 플라즈마 시스템(880A)은 돔(814) 상에 설치된 상부 코일(829) 및 측면 코일(830)을 포함한다. 대칭적 접지 실드(미도시)는 코일들 사이의 전기적 결합을 감소시킨다. 상부 코일(829)는 상부 소스 RF(SRF) 발생기(831A)에 의해 전력이 공급되는 반면, 측면 코일(830)은 측면 SRF 발생기(831B)에 의해 전력이 공급되며, 각 코일에 대하여 독립적 전력 레벨 및 동작 주파수를 허용한다. 이러한 이중 코일 시스템은 챔버(813) 내 방사상 이온 밀도의 제어를 가능케 하여, 플라즈마 균일성을 개선한다. 측면 코일(830) 및 상부 코일(829)은 전형적으로 유도적으로 구동되고, 상보적 전극을 요구하지 않는다. 특정 실시예에서, 상부 소스 RF 발생기(831A)는 보통 2 MHz에서 2,500 watt까지의 RF 전력을 제공하고, 측면 소스 RF 발생기(831B)는 보통 2 MHz에서 5,000 watt까지의 RF 전력을 제공한다. 상부 및 측 면 RF 발생기의 동작 주파수들은 플라즈마 발생 효율을 개선하기 위하여 공칭 동작 주파수(예를 들어, 각각 1.7-1.9 MHz 및 1.9-2.1 MHz)로부터 오프셋될 수 있다.
바이어스 플라즈마 시스템(880B)은 바이어스 RF("BRF") 발생기(831C) 및 바이어스 정합 네트워크(832C)를 포함한다. 바이어스 플라즈마 시스템(880B)는 기판 부분(817)을 몸체 부재(822)에 용량성 결합하고, 기판 부분(817) 및 몸체 부재(822)는 상보적 전극들로서 작용한다. 바이어스 플라즈마 시스템(880B)은 기판의 표면으로 소스 플라즈마 시스템(880A)에 의해 형성된 플라즈마 종(예를 들어, 이온들)의 운반을 향상시키도록 사용된다. 특정 실시예에서, 바이어스 RF 발생기는 이하에서 더 설명되는 바와 같이, 5 MHz 이하의 주파수에서 10,000 watt까지의 RF 전력을 제공한다.
RF 발생기(831A 및 831B)는 디지털로 제어되는 신디사이저를 포함하고, 약 1.8 내지 약 2.1 MHz 사이의 주파수 범위에 걸쳐 동작한다. 당업자에게 자명한 바와 같이, 각각의 발생기는 챔버 및 코일로부터 발생기로 다시 반사된 전력을 측정하고 최저 반사된 전력을 달성하기 위하여 동작 주파수를 조정하는 RF 제어 회로(미도시)를 포함한다. RF 발생기들은 전형적으로 50 옴의 특성 임피던스를 가진 부하로 동작하도록 설계된다. RF 전력은 발생기와 상이한 특성 임피던스를 갖는 부하로부터 반사될 수 있다. 이것은 부하로 전달된 전력을 감소시킬 수 있다. 부가적으로, 부하로부터 발생기로 다시 반사된 전력은 발생기에 과부하를 제공하여 발생기가 손상되게 한다. 플라즈마의 임피던스는 다른 요소들 가운데, 플라즈마 이온 밀도에 따라, 5 옴 이하로부터 900 옴 이상에 이르는 범위를 가질 수 있고, 반 사된 전력은 주파수의 함수일 수 있기 때문에 반사된 전력에 따라 발생기 주파수를 조정하는 것은 RF 발생기로부터 플라즈마로 전달된 전력을 증가시키고, 발생기를 보호한다. 반사된 전력을 감소시키고 효율을 개선하는 또 다른 방법은 정합 네트워크이다.
정합 네트워크(832A 및 832B)는 발생기(831A 및 831B)의 출력 임피던스를 각각의 코일들(829 및 830)에 정합시킨다. RF 제어 회로는 부하가 변화함에 따라 발생기를 부하에 정합시키기 위하여 정합 네트워크 내 커패시터들의 값을 변화시킴으로써 두 정합 네트워크를 모두 튜닝할 수 있다. RF 제어 회로는 부하로부터 발생기로 다시 반사된 전력이 특정 한계를 초과할 때 정합 네트워크를 튜닝할 수 있다. 일정한 정합을 제공하고, RF 제어 회로가 정합 네트워크를 튜닝하는 것을 효과적으로 디스에이블링하는 방법은 반사된 전력 한계를 반사된 전력의 임의의 기대값 위로 설정하는 것이다. 이것은 가장 최근의 조건에서 정합 네트워크를 일정하게 유지함으로써 소정의 조건들 하에서 플라즈마를 안정화시키는데 도움이 될 수 있다.
다른 방법들 또한 플라즈마를 안정화하는데 도움이 될 수 있다. 예를 들어, RF 제어 회로는 부하(플라즈마)로 전달되는 전력을 결정하기 위해 사용될 수 있고, 전달된 전력을 층의 증착 동안에 거의 일정하게 유지하기 위하여 발생기 출력 전력을 증가 또는 감소시킬 수 있다.
가스 전달 시스템(833)은 가스 전달 라인(838)(소정의 가스 전달 라인은 도시되지 않음)을 경유하여 기판을 프로세싱하기 위하여 여러 소스들(834A-834E)로부터 챔버로 가스를 제공한다. 당업자가 이해할 수 있는 바와 같이, 소스(834A- 834E)에 사용된 실제 소스 및 챔버(813)에 대한 전달 라인들(838)의 실제 연결은 챔버(813) 내에서 실행된 증착 및 세정 프로세스에 따라 변화한다. 가스들은 가스 링(837) 및/또는 상부 노즐(845)을 통해 챔버(813) 내로 도입된다. 도 8b는 가스 링(837)의 부가적인 세부사항을 보여주는 챔버(813)의 단순화된 부분 단면도이다.
일 실시예에서, 제 1 및 제 2 가스 소스(834A 및 834B) 및 제 1 및 제 2 가스 유량 제어기(835A' 및 835B')는 가스 전달 라인들(838)(소정의 가스 전달 라인들은 도시되지 않음)을 경유하여 가스 링(837)의 링 플레넘(836)에 가스를 제공한다. 가스 링(837)은 기판에 걸쳐 가스의 균일한 흐름을 제공하는 복수 개의 소스 가스 노즐(839)(예시의 목적으로 단지 하나만 도시됨)을 갖는다. 노즐 길이 및 노즐 각은 별개의 챔버 내에서 특정 프로세스에 대하여 균일성 프로파일 및 가스 이용 효율을 조정할 수 있도록 변경될 수 있다. 바람직한 실시예에서, 가스 링(837)은 알루미늄 옥사이드 세라믹으로 만들어진 12개의 소스 가스 노즐을 갖는다.
가스 링(837)은 또한 복수 개의 산화제 가스 노즐들(840)(단지 하나만 도시됨)을 갖고, 상기 산화제 가스 노즐들은 바람직한 실시예에서 소스 가스 노즐(839)과 동일 평면에 있으면서 더 짧고, 일 실시예에서 몸체 플레넘(841)으로부터 가스를 수용한다. 소정의 실시예에서, 챔버(813) 내로 가스들을 주입하기에 앞서 소스 가스들 및 산화제 가스들을 혼합하지 않는 것이 바람직하다. 다른 실시예들에서, 산화제 가스 및 소스 가스는 몸체 플레넘(841)과 가스 링 플레넘(836) 사이에 개구들(미도시)을 제공함으로써 챔버(813) 내로 가스들을 주입하기 이전에 혼합될 수 있다. 일 실시예에서, 제 3, 제 4, 제 5 가스 소스들(834C, 834D 및 834D') 및 제 3 및 제 4 가스 유량 제어기(835C 및 835D')는 가스 전달 라인(838)을 경유하여 몸체 플레넘에 가스를 제공한다. 843B와 같은 부가적인 밸브들(다른 밸브들은 미도시)은 유량 제어기로부터 챔버로의 가스를 잠글 수 있다. 본 발명의 특정 실시예들을 구현함에 있어, 소스(834A)는 실란 SiH4 소스를 포함하고, 소스(834B)는 분자 산소 O2 소스를 포함하며, 소스(834C)는 실란 SiH4 소스를 포함하고, 소스(834D)는 헬륨 He 소스를 포함하며, 소스(834D')는 분자 수소 H2 소스를 포함한다.
가연성, 독성 또는 침식성 가스들이 사용되는 실시예에서, 증착 이후에 가스 전달 라인들에 남아있는 가스를 제거하는 것이 바람직할 수 있다. 이것은 예를 들어, 전달 라인(838A)과 챔버(813)를 분리시키기 위하여 그리고 전달 라인(838A)을 진공 포어라인(844)으로 배출시키기 위하여, 밸브(843B)와 같은 3-방향 밸브를 사용하여 달성될 수 있다. 도 8A에 도시된 바와 같이, 843A 및 843C와 같은 다른 유사한 밸브들이 다른 가스 전달 라인들 상에 통합될 수 있다. 그러한 3 방향 밸브는 통기되지 않은 가스 전달 라인(3 방향 밸브와 챔버 사이)의 부피를 최소화하기위하여 실제적으로 챔버(813)에 근접하게 배치될 수 있다. 부가적으로, 2-방향(온-오프) 밸브(미도시)는 질량 유량 제어기("MFC")와 챔버 사이에 또는 가스 소스와 MFC 사이에 배치될 수 있다.
다시 도 8A를 참조하면, 챔버(813)는 또한 상부 노즐(845) 및 상부 벤트(846)를 갖는다. 상부 노즐(845) 및 상부 벤트(846)는 가스들의 상부 및 측면 흐름이 독립적으로 제어될 수 있게 하고, 이것은 막 균일성을 개선하며 막의 증착 및 도핑 파라미터들의 미세한 조정을 가능케 한다. 상부 벤트(846)는 상부 노즐(845) 둘레의 환형 개구이다. 일 실시예에서, 제 1 가스 소스(834A)는 소스 가스 노즐(839) 및 상부 노즐(845)을 공급한다. 소스 노즐 MFC(835A')는 소스 가스 노즐(839)에 전달된 가스 양을 제어하고, 상부 노즐 MFC(835A)는 상부 가스 노즐(845)에 전달된 가스 양을 제어한다. 유사하게, 2개의 MFC(835B 및 835B')는 소스(834B)와 같은 단일 산소 소스로부터 상부 벤트(846) 및 산화제 가스 노즐(840)로의 산소 흐름을 제어하기 위하여 사용될 수 있다. 소정의 실시예에서, 산소는 어떠한 측면 노즐들로부터도 챔버로 공급되지 않는다. 상부 노즐(845) 및 상부 벤트(846)로 공급된 가스들은 챔버(813) 내로 가스들을 흘려 보내기에 앞서 분리된 상태로 유지될 수 있거나, 가스들은 챔버(813) 내로 흘러 들어 가기 이전에 상부 플레넘(848)에서 혼합될 수 있다. 동일 가스의 분리된 소스들은 챔버의 여러 부분들을 공급하기 위하여 사용될 수 있다.
원격 마이크로파 발생 플라즈마 세정 시스템(850)은 챔버 부품들로부터 증착 잔여물을 주기적으로 세정하기 위하여 제공된다. 세정 시스템은 반응기 공동(853)에서 세정 가스 소스(834E)(예를 들어, 분자 불소, 니트로젠 트리플루오라이드, 다른 플루오로카본 또는 균등물)로부터 플라즈마를 형성하는 원격 마이크로파 발생기(851)를 포함한다. 이러한 플라즈마로부터 야기되는 반응 종들은 애플리케이터 튜브(855)를 경유하여 세정 가스 공급 포트(854)를 통해 챔버(813)로 운반된다. 세정 플라즈마를 포함하기 위하여 사용된 재료(예를 들어, 공동(853) 및 애플리케이터 튜브(855))는 플라즈마에 의한 공격에 저항성이 있어야 한다. 바람직한 플라즈 마 종들의 농도가 반응기 공동(853)으로부터의 거리에 따라 감소하기 때문에, 반응기 공동(853)과 공급 포트(854) 사이의 거리는 실제적으로 짧게 유지되어야 한다. 원격 공동 내에서 세정 플라즈마를 발생시키는 것은 효율적인 마이크로파 발생기의 사용을 가능케 하고, 챔버 부품들이 인시츄로 형성된 플라즈마에 존재할 수 있는 온도, 복사 또는 글로우 방전의 폭격을 받지 않게 한다. 결과적으로, 정전척(820)과 같은 비교적 민감한 부품들은 인시츄 플라즈마 세정 프로세스에 요구될 수 있는 것처럼 더미 기판으로 커버되거나 다른 방식으로 보호될 필요가 없다. 도 8A에서, 플라즈마 세정 시스템(850)은 챔버(813) 위에 배치되는 것으로 도시되었으나, 대안적으로 다른 배치도 사용될 수 있다.
배플(861)은 상부 노즐을 통해 챔버 내로 공급된 소스 가스들의 흐름을 지향시키기 위하여, 그리고 원격으로 생성된 플라즈마의 흐름을 지향시키기 위하여 상부 노즐 근처에 제공될 수 있다. 상부 노즐(845)을 통해 제공된 소스 가스들은 중심 통로(862)를 통해 챔버 내로 지향되는 반면, 세정 가스 공급 포트(854)를 통해 제공된 원격으로 생성된 플라즈마 종들은 배플(861)에 의해 챔버(813)의 측면들로 지향된다.
당업자들은 특정 파라미터들이 본 발명의 개념을 벗어나지 않으면서 상이한 프로세싱 챔버들 및 상이한 프로세싱 조건들에 대하여 가변될 수 있음을 인식할 것이다. 다른 변형예들 또한 당업자들에게 자명할 것이다. 이러한 균등물 및 대안예들은 본 발명의 사상 내에 포함되는 것으로 의도된다. 따라서, 본 발명의 범위는 기술된 실시예들에 한정되지 않아야 하고, 대신, 이하의 청구범위에 의해 정해 져야 한다.
본 발명의 기술에 따라 증착된 실리콘 옥사이드 막은 우수한 갭 충전 능력을 갖는다.

Claims (24)

  1. 기판 프로세싱 챔버에 배치된 기판 상에 실리콘 옥사이드 막을 증착하는 방법으로서,
    상기 기판은 인접한 융기 표면들 사이에 형성된 갭을 갖고,
    상기 실리콘 옥사이드 막 증착 방법은,
    상기 기판 프로세싱 챔버 내로 실리콘 함유 가스를 유입시키는 단계;
    상기 기판 프로세싱 챔버 내로 산소 함유 가스를 유입시키는 단계;
    상기 기판 프로세싱 챔버 내로 5 amu 이하의 평균 분자량을 갖는 유동성 가스를 유입시키는 단계;
    제 1 증착/스퍼터링율에 의해 정해진 상대적 기여분을 갖는 동시 증착 및 스퍼터링 성분들을 갖는 제 1 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 1 부분을 증착하기 위하여 상기 실리콘 함유 가스, 상기 산소 함유 가스 및 상기 유동성 가스로부터 제 1 고밀도 플라즈마를 형성하는 단계; 및
    제 2 증착/스퍼터링율에 의해 정해진 상대적 기여분을 갖는 동시 증착 및 스퍼터링 성분들을 갖는 제 2 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 2 부분을 증착하기 위하여 상기 실리콘 함유 가스, 상기 산소 함유 가스 및 상기 유동성 가스로부터 제 2 고밀도 플라즈마를 형성하는 단계 - 여기서, 상기 제 2 증착/스퍼터링율은 제 1 증착/스퍼터링율보다 작음 -;
    를 포함하고,
    상기 제 1 및 제 2 증착/스퍼터링율 각각은 순 증착율과 블랭킷 스퍼터링율의 합 대 블랭킷 스퍼터링율의 비율로서 정의되는 실리콘 옥사이드 막 증착 방법.
  2. 제 1항에 있어서,
    상기 제 1 증착/스퍼터링율은 20 내지 100 사이인 실리콘 옥사이드 막 증착 방법.
  3. 제 2항에 있어서,
    상기 제 2 증착/스퍼터링율은 10 이하인 실리콘 옥사이드 막 증착 방법.
  4. 제 1항에 있어서,
    상기 제 2 고밀도 플라즈마를 형성하는 단계는 상기 제 1 고밀도 플라즈마를 소거하지 않으면서 프로세스 조건들을 변경하는 단계를 포함하는 실리콘 옥사이드 막 증착 방법.
  5. 제 1항에 있어서,
    상기 유동성 가스는 분자 수소 H2를 포함하는 실리콘 옥사이드 막 증착 방법.
  6. 제 5항에 있어서,
    상기 분자 수소 H2는 500 sccm보다 더 큰 유량으로 상기 기판 프로세싱 챔버 내로 유입되는 실리콘 옥사이드 막 증착 방법.
  7. 제 1항에 있어서,
    상기 유동성 가스는 헬륨 He를 포함하는 실리콘 옥사이드 막 증착 방법.
  8. 제 1항에 있어서,
    상기 실리콘 함유 가스는 모노실란 SiH4를 포함하고, 상기 산소 함유 가스는 분자 산소 O2를 포함하는 실리콘 옥사이드 막 증착 방법.
  9. 제 1항에 있어서,
    상기 실리콘 옥사이드 막의 상기 제 1 부분은 50% 이하만큼 갭의 깊이를 감소시키는 실리콘 옥사이드 막 증착 방법.
  10. 제 1항에 있어서,
    상기 갭은 인접한 융기 표면들 사이에 형성된 복수 개의 갭들을 포함하고, 제 1 갭은 제 2 갭의 폭의 적어도 5배 이상인 폭을 갖는 실리콘 옥사이드 막 증착 방법.
  11. 기판 프로세싱 챔버에 배치된 기판 상에 실리콘 옥사이드 막을 증착하는 방법으로서,
    상기 기판은 인접한 융기 표면들 사이에 형성된 복수 개의 갭들을 갖고, 제 1 갭은 제 2 갭의 폭의 적어도 5배 이상인 폭을 가지며,
    상기 실리콘 옥사이드 막 증착 방법은,
    상기 기판 프로세싱 챔버 내로 모노실란 SiH4을 유입시키는 단계;
    상기 기판 프로세싱 챔버 내로 산소 O2를 유입시키는 단계;
    500 sccm보다 더 큰 유량으로 상기 기판 프로세싱 챔버 내로 수소 H2를 유입시키는 단계;
    20 내지 100 사이의 제 1 증착/스퍼터링율에 의해 정해진 상대적 기여분을 갖는 동시 증착 및 스퍼터링 성분들을 갖는 제 1 증착 프로세스로 상기 기판 위에서 각각의 상기 제 1 갭 및 제 2 갭 내에 상기 실리콘 옥사이드 막의 제 1 부분을 증착하기 위하여 상기 모노실란 SiH4, 분자 산소 O2 및 분자 수소 H2로부터 제 1 고밀도 플라즈마를 형성하는 단계; 및
    10 이하의 제 2 증착/스퍼터링율에 의해 정해진 상대적 기여분을 갖는 동시 증착 및 스퍼터링 성분들을 갖는 제 2 증착 프로세스로 상기 기판 위에서 각각의 상기 제 1 갭 및 제 2 갭 내에 상기 실리콘 옥사이드 막의 제 2 부분을 증착하기 위하여 상기 모노실란 SiH4, 분자 산소 O2 및 분자 수소 H2로부터 제 2 고밀도 플라즈마를 형성하는 단계;
    를 포함하고,
    상기 제 1 및 제 2 증착/스퍼터링율 각각은 순 증착율과 블랭킷 스퍼터링율의 합 대 블랭킷 스퍼터링율의 비율로서 정의되는 실리콘 옥사이드 막 증착 방법.
  12. 기판 프로세싱 챔버에 배치된 기판 상에 실리콘 옥사이드 막을 증착하는 방법으로서,
    상기 기판은 인접한 융기 표면들 사이에 형성된 갭을 갖고,
    상기 실리콘 옥사이드 막 증착 방법은,
    상기 기판 프로세싱 챔버에 실리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 유동성 가스의 흐름을 포함하는 제 1 가스 혼합물의 흐름을 제공하는 단계;
    동시 증착 및 스퍼터링 성분들을 갖는 제 1 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 1 부분을 증착하기 위하여 상기 제 1 가스 혼합물로부터 제 1 고밀도 플라즈마를 형성하는 단계;
    5 am 이하의 평균 분자량을 갖고 분자 수소 H2의 흐름을 포함하는 가스들의 흐름으로 형성된 제 2 고밀도 플라즈마에 상기 실리콘 옥사이드 막의 상기 제 1 부분을 노출시키는 단계;
    그 후에, 상기 기판 프로세싱 챔버에 실리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 유동성 가스의 흐름을 포함하는 제 2 가스 혼합물의 흐름을 제공하는 단계; 및
    동시 증착 및 스퍼터링 성분들을 갖는 제 2 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 2 부분을 증착하기 위하여 상기 제 2 가스 혼합물로부터 제 2 고밀도 플라즈마를 형성하는 단계;
    를 포함하는 실리콘 옥사이드 막 증착 방법.
  13. 제 12항에 있어서,
    상기 제 2 고밀도 플라즈마는 본질적으로 분자 수소 H2로 구성된 흐름으로 형성되는 실리콘 옥사이드 막 증착 방법.
  14. 제 12항에 있어서,
    상기 제 1 부분을 상기 제 2 고밀도 플라즈마에 노출시키는 단계는 상기 제 1 가스 혼합물의 흐름에 의해 구성된 상기 실리콘 함유 가스의 흐름 및 상기 산소 함유 가스의 흐름을 종결시키는 단계를 포함하는 실리콘 옥사이드 막 증착 방법.
  15. 제 14항에 있어서,
    상기 제 2 가스 혼합물을 제공하는 단계는 종결된 실리콘 함유 가스의 흐름 및 종결된 산소 함유 가스의 흐름을 재개시하는 단계를 포함하는 실리콘 옥사이드 막 증착 방법.
  16. 제 12항에 있어서,
    상기 분자 수소 H2의 흐름은 500 sccm 보다 더 큰 비율로 제공되는 실리콘 옥사이드 막 증착 방법.
  17. 제 12항에 있어서,
    상기 분자 수소 H2의 흐름은 1000 sccm 보다 더 큰 비율로 제공되는 실리콘 옥사이드 막 증착 방법.
  18. 제 12항에 있어서,
    5 amu 이하의 평균 분자량을 갖고 분자 수소 H2의 흐름을 포함하는 가스들의 흐름으로 형성된 제 4 고밀도 플라즈마에 상기 실리콘 옥사이드 막의 상기 제 2 부분을 노출시키는 단계;
    그 후에, 상기 기판 프로세싱 챔버에 실리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 유동성 가스의 흐름을 포함하는 제 3 가스 혼합물의 흐름을 제공하는 단계; 및
    동시 증착 및 스퍼터링 성분들을 갖는 제 3 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 3 부분을 증착하기 위하여 상기 제 3 가스 혼합물로부터 제 5 고밀도 플라즈마를 형성하는 단계;
    를 더 포함하는 실리콘 옥사이드 막 증착 방법.
  19. 제 12항에 있어서,
    상기 제 1 증착 프로세스는 20 내지 100 사이의 제 1 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 포함하고,
    상기 제 2 증착 프로세스는 10 이하의 제 2 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 포함하며,
    각각의 상기 제 1 및 제 2 증착/스퍼터링율은 순 증착율과 블랭킷 스퍼터링율의 합 대 블랭킷 스퍼터링율의 비율로서 정의되는 실리콘 옥사이드 막 증착 방법.
  20. 제 12항에 있어서,
    상기 제 1 증착 프로세스는:
    20 내지 100 사이의 제 1 증착/스퍼터링율에 의해 정해지는 상대적 증착 및 스퍼터링 기여분을 갖는 제 1 부분; 및
    10 이하의 제 2 증착/스퍼터링율에 의해 정해지는 상대적 증착 및 스퍼터링 기여분을 갖는 제 2 부분;
    을 포함하고, 상기 제 2 부분은 시간적으로 상기 제 1 부분 뒤에 오고, 각각의 상기 제 1 및 제 2 증착/스퍼터링율은 순 증착율과 블랭킷 스퍼터링율의 합 대 블랭킷 스퍼터링율의 비율로서 정의되는 실리콘 옥사이드 막 증착 방법.
  21. 제 12항에 있어서,
    상기 제 2 증착 프로세스는:
    20 내지 100 사이의 제 1 증착/스퍼터링율에 의해 정해지는 상대적 증착 및 스퍼터링 기여분을 갖는 제 1 부분; 및
    10 이하의 제 2 증착/스퍼터링율에 의해 정해지는 상대적 증착 및 스퍼터링 기여분을 갖는 제 2 부분;
    을 포함하고, 상기 제 2 부분은 시간적으로 상기 제 1 부분 뒤에 오고, 각각의 상기 제 1 및 제 2 증착/스퍼터링율은 순 증착율과 블랭킷 스퍼터링율의 합 대 블랭킷 스퍼터링율의 비율로서 정의되는 실리콘 옥사이드 막 증착 방법.
  22. 기판 프로세싱 챔버에 배치된 기판 상에 실리콘 옥사이드 막을 증착하는 방법으로서,
    상기 기판은 인접한 융기 표면들 사이에 형성된 갭을 갖고,
    상기 실리콘 옥사이드 막 증착 방법은,
    500 sccm보다 더 큰 유량으로 상기 기판 프로세싱 챔버 내로 모노실란 SiH4의 흐름, 분자 산소 O2의 흐름 및 분자 수소 H2의 흐름을 포함하는 제 1 가스 혼합물의 흐름을 제공하는 단계;
    동시 증착 및 스퍼터링 성분들을 갖는 제 1 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 1 부분을 증착하기 위하여 상기 제 1 가스 혼합물로부터 제 1 고밀도 플라즈마를 형성하는 단계; 및
    상기 실리콘 옥사이드 막의 상기 제 1 부분을 상기 분자 수소 H2의 흐름으로부터 형성된 제 2 고밀도 플라즈마에 노출시키기 위하여 상기 모노실란 SiH4의 흐름 및 상기 분자 산소 O2의 흐름을 종결시키는 단계 - 여기서, 상기 분자 수소 H2의 유량은 500 sccm보다 더 크게 유지됨 -;
    동시 증착 및 스퍼터링 성분들을 갖는 제 2 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 2 부분을 증착하도록 제 3 고밀도 플라즈마를 형성하기 위하여 종결된 모노실란 SiH4의 흐름 및 종결된 분자 산소 O2의 흐름을 재개시하는 단계;
    를 포함하는 실리콘 옥사이드 막 증착 방법.
  23. 제 22항에 있어서,
    상기 갭은 인접한 융기 표면들 사이에 형성된 복수 개의 갭들을 포함하고, 제 1 갭은 제 2 갭의 폭의 적어도 5배 이상의 폭을 가지며,
    상기 제 1 증착 프로세스는 20 내지 100 사이의 제 1 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 포함하고,
    상기 제 2 증착 프로세스는 10 이하의 제 2 증착/스퍼터링율에 의해 정해진 상대적 증착 및 스퍼터링 기여분을 포함하며,
    각각의 상기 제 1 및 제 2 증착/스퍼터링율은 순 증착율과 블랭킷 스퍼터링율의 합 대 블랭킷 스퍼터링율의 비율로서 정의되는 실리콘 옥사이드 막 증착 방법.
  24. 기판 프로세싱 챔버에 배치된 기판 상에 실리콘 옥사이드 막을 증착하는 방법으로서,
    상기 기판은 인접한 융기 표면들 사이에 형성된 갭을 갖고,
    상기 실리콘 옥사이드 막 증착 방법은,
    상기 기판 프로세싱 챔버에 실리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 유동성 가스의 흐름을 포함하는 제 1 가스 혼합물의 흐름을 제공하는 단계;
    동시 증착 및 스퍼터링 성분들을 갖는 제 1 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 1 부분을 증착하기 위하여 상기 제 1 가스 혼합물로부터 제 1 고밀도 플라즈마를 형성하는 단계;
    상기 실리콘 옥사이드 막의 상기 제 1 부분을 분자 수소 H2의 흐름을 포함하고 할로겐을 포함하지 않는 가스들의 흐름으로 형성된 제 2 고밀도 플라즈마에 노출시키는 단계;
    그 이후에, 상기 기판 프로세싱 챔버에 실리콘 함유 가스의 흐름, 산소 함유 가스의 흐름 및 유동성 가스의 흐름을 포함하는 제 2 가스 혼합물의 흐름을 제공하는 단계; 및
    동시 증착 및 스퍼터링 성분들을 갖는 제 2 증착 프로세스로 상기 기판 위에서 상기 갭 내에 상기 실리콘 옥사이드 막의 제 2 부분을 증착하기 위하여 상기 제 2 가스 혼합물로부터 제 3 고밀도 플라즈마를 형성하는 단계;
    를 포함하는 실리콘 옥사이드 막 증착 방법.
KR1020060001215A 2005-01-08 2006-01-05 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들 KR101289795B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/031,926 2005-01-08
US11/031,926 US20060154494A1 (en) 2005-01-08 2005-01-08 High-throughput HDP-CVD processes for advanced gapfill applications

Publications (2)

Publication Number Publication Date
KR20060081350A true KR20060081350A (ko) 2006-07-12
KR101289795B1 KR101289795B1 (ko) 2013-07-26

Family

ID=36653844

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060001215A KR101289795B1 (ko) 2005-01-08 2006-01-05 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들

Country Status (4)

Country Link
US (2) US20060154494A1 (ko)
KR (1) KR101289795B1 (ko)
CN (1) CN100483646C (ko)
TW (1) TWI328618B (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130050918A (ko) * 2010-03-15 2013-05-16 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
CN101440480B (zh) * 2007-11-22 2010-08-11 上海华虹Nec电子有限公司 Hdp cvd工艺淀积介质膜时减少二氧化硅的方法
CN102449190B (zh) * 2009-05-26 2014-08-27 Imec公司 在基板上形成有机材料层的方法
KR101893471B1 (ko) 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8643151B2 (en) * 2011-02-28 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for semiconductor devices
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US10096464B2 (en) 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US9941111B2 (en) * 2015-05-29 2018-04-10 Infineon Technologies Ag Method for processing a semiconductor layer, method for processing a silicon substrate, and method for processing a silicon layer
CN111128718A (zh) * 2019-12-26 2020-05-08 华虹半导体(无锡)有限公司 间隙填充方法

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) * 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
DE3856483T2 (de) * 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5030881A (en) * 1990-07-02 1991-07-09 Rca Licensing Corporation Color picture tube with shadow mask having improved aperture border
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) * 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
WO1997024761A1 (en) * 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5953635A (en) * 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) * 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5990000A (en) * 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) * 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) * 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US20050074698A1 (en) 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US20050073671A1 (en) 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US20050085085A1 (en) 2003-10-17 2005-04-21 Yan Borodovsky Composite patterning with trenches
US7142282B2 (en) 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US20050088633A1 (en) 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
US7163896B1 (en) * 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
KR20130050918A (ko) * 2010-03-15 2013-05-16 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition

Also Published As

Publication number Publication date
US20080063813A1 (en) 2008-03-13
KR101289795B1 (ko) 2013-07-26
CN100483646C (zh) 2009-04-29
TWI328618B (en) 2010-08-11
TW200624589A (en) 2006-07-16
US20060154494A1 (en) 2006-07-13
US8414747B2 (en) 2013-04-09
CN1819123A (zh) 2006-08-16

Similar Documents

Publication Publication Date Title
KR101289795B1 (ko) 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US7097886B2 (en) Deposition process for high aspect ratio trenches
US6808748B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7329586B2 (en) Gapfill using deposition-etch sequence
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US6802944B2 (en) High density plasma CVD process for gapfill into high aspect ratio features
US7867921B2 (en) Reduction of etch-rate drift in HDP processes
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
KR20150103227A (ko) 고밀도 플라즈마를 구현하는 실리콘 질화물 갭필
WO2006074489A1 (en) Low-frequency bias power in hdp-cvd processes
US7189639B2 (en) Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US7229931B2 (en) Oxygen plasma treatment for enhanced HDP-CVD gapfill
US8497211B2 (en) Integrated process modulation for PSG gapfill
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 5