TWI323921B - Integrated circuit arrangement having a plurality of conductive structure levels and coil, and method - Google Patents

Integrated circuit arrangement having a plurality of conductive structure levels and coil, and method Download PDF

Info

Publication number
TWI323921B
TWI323921B TW095134496A TW95134496A TWI323921B TW I323921 B TWI323921 B TW I323921B TW 095134496 A TW095134496 A TW 095134496A TW 95134496 A TW95134496 A TW 95134496A TW I323921 B TWI323921 B TW I323921B
Authority
TW
Taiwan
Prior art keywords
component
interconnect
substrate
adjacent
layer
Prior art date
Application number
TW095134496A
Other languages
English (en)
Other versions
TW200713478A (en
Inventor
Hommel Martina
Koerner Heinrich
Schwerd Markus
Seck Martin
Original Assignee
Infineon Technologies Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Ag filed Critical Infineon Technologies Ag
Publication of TW200713478A publication Critical patent/TW200713478A/zh
Application granted granted Critical
Publication of TWI323921B publication Critical patent/TWI323921B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5225Shielding layers formed together with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • H01L2223/6622Coaxial feed-throughs in active or passive substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

九、發明說明: 【發明内容】 4本發明與-種具有線_積體電路裝置有關。舉例而 S ’-種具有基板及具有至少三個直接連續導電結構層的 積體電路裝置。特別是,所述基板是一種單結晶半導縣 板或是-種所謂的魏緣(S0I)基板。所述導電結構層也 稱為-種金屬化層,因為其所包含的所述導電結構通常包 括-種金屬或金屬合金,例如一種紹原子比例大於百分之 6〇或大於百分之9〇的銘合金,或是一種銅原子比例大於百 刀之60或大於百分之9〇的銅合金。然而,也可以利用適 合做為導電結構的其他材料,例如像是摻雜的半導體材料。 在導電、、構中,可以明顯區分用於側向電流傳輸以及 做為垂直電流傳輸所謂通道(via)或是接觸(⑺雜〇的 互連。在此情況中,垂直意指位於正交於所述基板主要區 域方向的方向’積體半導體組件則配置於所述基板之中。 替代的,垂直也意指一種相反於所述正交方向的方向。侧 向則意指位於橫向方向或是對於所述正向方向為90度的方 向。 ,所述每-導電結構層都包含配置在一層或一平面中的 硬數導電結構。因此,目前例如像是化學機械研磨法(CMP) ^平面化H便可以在所述導電結構層之㈣造基本的 、主面”面L當在製造極限中無法進行完全的平面化 nr利用導電結構層所指定。所述各別層可以利用 °構特徵而彼此區別,例如_介於導電結構層之間 1323921 的特定中間層’或是_介於所述層底部區域與所述基板 主ί區域之_狀距離。在此情財,不㈣所使用的 特定距離差異’為所料€結構層底純财間位置製造 極限的至少兩倍或至少三倍,在所述導電結構層中,則配 置具有所述底部區域的互連。 所述每個導電結構包含至少靠近於所述基板的一底部 區域,以及遠離所述基板的—頂部區I正向於所述底部 區域的方向,在正向於所述基板主要區域相反方向的方向 中延伸。正向_述頂部區_方向,則在正向於所述基 板主要區域方向的方向巾延伸,所述基板主要區域則為包 含例如像是電晶體半導體組件的區域。 所述每個導電結構層的導電結構頂部區域,都位於所 述導電結構層的層頂部區域之^所述層頂部區域則為一 平面。所述每料電結構層的導電結構底部區域,則位於 所述導電結構層的層底部區域之中。所述層底部區域同樣 也疋一平面。一平面層頂部區域或一平面層底部區域的誤 差,將造成像是鄰近導電結構層的導電結構互相嚙合 (intermeshing )。 在一導電結構層的所述層頂部區域與所述層底部區域 之間,並不存在像是其中配置所述導電結構層導電結構頂 部區域或底部區域的中間區域。據此’在不同的導電妹構 層之中,則特別配置利用雙重波紋技術的裝置所產生的導 電結構。此外,也可以利用單一波紋方法或是一種所謂的 減去方法製造導電結構層,在所述減去方法中,於圖形化 7 1323921 期間利用一種像是反應離子蝕刻(RIE)方式,再次從被制 造的導電結構層去除所述導電材料。 ?衣 配置各別導體結構層導體結構的層或平面,較佳的是 平行於所述基板主要區域,其因此也彼此平行。 疋 -般所已知的金屬化或接線’是_種_包含通道的 通道層,與包含互連以及如果適當的通道或所謂平台襯墊 的-互連層替代使用。此配置特別用在所述電路裝^ 部導電結構層中。 1 本發明的目標,是具體說明一種具有金屬化 路裝置,其具有改良的電力性質以及特職開放-種新穎 的應用可祕,例如製造具有_良好f力 且 件。此外,本發明也具體說明其製造方法。_皮動,、且 所述目標所相關的電路裝置是利用一種 :=::的電路裝置所達成。其發展則在:: 的導= ㈣::;二=_直接連續 ,4—划导電結構層的每一個都含 :線互連錢多數個接線互連。在配置中,每個接 與寬度則於所述侧向方向中量::而_互連的長度 連沪荖苴方士 里劂舉例而吕,如果所述互 。者,、方向具有不_寬度,則 連區段做為其參考寬度。 ㈣ji度的互 在所述積體電路裝置操作 _ 連,其結果隨著所述積體電路二匕:過所述接線互 、電路I置的填充結構或其他輔助 8 1323921 結構而不同。 因此,根據本發明的電路裝置並不展示介於兩接線互 連層之間所配置的通道層。在此方法中,如果鄰近導電結 構層的互連是沿著其完全長度或相對長區段而以彼此相鄰 的方式配置時,例如在具有長度為所述區段寬度十倍的區 段中,便可以利用簡單的方式製造具有高品質因子的線 圈、具有低接觸阻抗的電容器、具有低非反應阻抗的操作 電壓線、共軸線與其他的被動組件。 此外,在配置中,如果所述三個金屬化層每一個都只 在與其總長度相比之下的短區段處,例如只在其端點處含 有相鄰於其他金屬層導電結構的互連時,根據本發明的接 線便具有顯著的電力性質。在此情況中,短意味著例如小 於在相關導電結構層中所述互連總長度的三分之一,或甚 至小於其十分之一。介於所述區段之間的區段,其所有側 邊都與介電質相鄰。 在所述配置申,所述三個導電結構層中的中間一個包 含一中間接線互連。所述三個導電結構層中與所述基板相 離最遠的導電結構層,則含有遠離所述基板的接線互連。 所述二個導電結構層中與所述基板相離最近的導電結構 層,則含有靠近所述基板的接線互連。所述中間接線互連、 遠離所述基板的接線互連以及靠近所述基板的接線互連, 每一個都具有其頂部區域與其底部區域不與另一金屬化層 相=的區段。所述區段具有至少為所述寬度或兩倍所述^ 段寬度的總長度,且較佳的是為所述區段寬度的十倍或甚 9 至五十倍。在所述接線互連處都具有下述的裝置之一. 配置導與在所述相關導電結構層以下直接 導電^鄰’但不與所述上方 導電…構層的任何導電結構相鄰。 _所述接線互“與餘在上方配置導”構 二:構相鄰’但不與所述下方導電結構層的任何導 少-==紅連只射接在料餘構層的至 導電結構直接在上方配置導電結制的至少一 所,接線互連有_三種可能性,其具有的總長度 j小見度的至少五倍。在配置中,所述接線互連於相同 向中延伸4另一示範實施例中,一互連對於所述三個 互連的另外兩個橫向延伸’例如在x方向+,而所述另外 兩個互連則在y方向中延伸。在另—方向中延伸的互連則 像是所述三個互連的下方、中間或上方的互連。 在—人配置中,所述中間接線互連在接觸區域處,與 遠離所述基板的接線互連以及#近所述基㈣接線互連相 鄰。所述接酿__彼此之間具有側向偏移的方式配 置。在所述接觸區域之間為所述中間接線互連的區段,其 所具有的頂部區域不是與遠離所絲板的接線互連相鄰Ϊ 就是與遠離所述基板的某些其他導電結構相鄰。所述中間 接線互連的區段’其所具有的底部區域,不是與靠近所述 基板的接線互連相鄰,就是與某些其他導電結構相鄰。所 述中間接線互連的區段具有的長度則至少為所述區段的寬 度’或是兩倍寬度,較佳的是所述寬度的十倍。 其因此可能要以一種簡單的方式確保在不使用通道 時’電流可以從靠近於所述基板接線互連,藉由所述第一 中間互連通過遠離所述基質的接線互連,並於垂直方向與 側向方向中傳輸,或是以相反的方向傳輪。 在另一發展中,所述三個導電結構層的中間一個含有 所述中間接線互連之外的至少一中間組件互連。所述三個 導電結構射遠離所述基板的導電結構層,則含有遠離所 述基板接線互連之外,遠離所述基板的至少一組件互連。 所,三個導電結構層中靠近所述基板的導電結構層,則含 有罪近所述基板接線互連之外,靠近所述基板的至少一組 件互連。所述巾間轉互連與_組件區财遠離所述基板 的組件互連卿。在所述組件區段處,所述+間組件互連 另外與靠近所絲板驗件互連捕。所述崎區段具有 的總長度為所述組件區段寬度,例如其最小寬度的至少四 倍或至少十倍,或甚至五十倍。利用這樣的結構,便可能 利用簡單的方式製造被動組件,特別是具有高品質因子的 線圈、共轴線或是在考慮彼此平行佈置互連的大線段橫斷 面而具有低非反應阻抗的線,以及垂直電容器。 由於成本與製造的理由’所述波紋結構只准許受限的 執道橫斷面(寬度限制而形成所謂的碟形(dishing),以及 為了成本或製造技術理由的厚度限制)。由於此項原因,且 因為到目前為止所利用離散通道方式連接的互連平面,其 可獲得的物理參數,例如線圈的品質因子,將不如利用本 發明或其發展之一時的優良。 在次一發展中,所述三個導電結構層的每一個導電結 構’都包括紹或是原子比例至少為百分之60的銘。在替代 七展中,所述二個導電結構層的每一個導電結構,都包括 銅或是原子比例至少為百分之60 _。就技織點而言,
由材料製造的金屬化處理便藉此獲得良好控制。 在根據本發明電路裝置的另一發展中,所述三個導電 結構層為所述f路裝置_部導電結構層。此發展克服内 部導電結構層、通道層與互連層必敏替佈置,以確保接 線/、有良好電力性質的缺點,特別是—種具有小型電阻電 谷(RC)的產品。
在-人-發展中’舉例而言,具有交替通道層與互連層 的另一導電結構層是配置於所述三個導電結構層最接近所 述基板的導電結構層及所述基板之間。然而,所述下方導 電、、構層也可以具體化為包含通道與互連兩者的層。 本發明另外與製造根據本發明或是其發展之一電路 置的方法有關。在所述方法中’所述三個導電結構層每二 波紋方法的方式製造。與-種具有兩微影裝 置紋方法相比之下,在單—波紋方法中,於洗^ 力絕緣層之後,為了開始進行各別導電結· ==重=單—微影方法以將所述絕緣層進夂 具有較高:產出比之下’所述單一波紋方法可以 12 it月另外與種具有積體線圈的積體電路裝置有 〃種具有麵共她的频電賴置以及一種具 2容器的積體電路震置有關。這些被動組件也可以包含 /統的金屬化層之巾’其中通道層與互連層相互交替。 t苗做騎代’這樣的被動組件也可以與以上說明的電
如夕、數=結t於基板之上’特別是—種單結Μ板,例 如多數物互連層,或是在多數連續互連相下或以上。
明或其發翻此提種設計改變,從處理技術 的觀點其可以解地實作,並在,,減少互雜抗”、,,符合提 載電容要求,,、”支援W f子的_,,㈣,,實倾直紅It”料面具有良好效 果。 根據本發明或其發展的解財式提供—健線,宜中 _做為垂直電流傳輸的標準金屬化離散通道,可料或
完全的省去,或是單—波紋結構中的互連平面所置 味著每個金屬層都可以在此平面之中於垂直或側 向方向中運送電流。 本發明或其發展可以在所有具有波紋接線結構(例 t:金屬化,金屬化、齡屬化)的半導體技術中使 =Γ而’原則上也可以利用減去結構所實作(例如_ 子反應钱刻(al_rie ))。 【技術效果】 本發明或其發展可能在不科計實作具有_較大 13 •橫斷面的互連’並且-由於此原因以及由於被省去的離散通 道’而·具有較低阻抗。也可由此獲得其他不同的技術效果: —般來說,與標準金屬化相比之下可以獲得較高電 流及/或較長服務壽命及/或較高操作溫度等,其對於例如所 謂自動化應用而言為重要的因素。 •”焦耳加熱(Joule Heating)效應”,也就是說因為高 _ 操作電流與不良熱消散所形成的互連加熱現象,對於相同 的電流以及電流密度而言,可以因為增加軌道橫斷面而明 顯減少,並因此可以證明具有減緩加熱的效果。基本上即 使在90奈米的製程技術的絕緣功率匯流排互連中,所述,, 焦耳加熱條件”將限制可利用的電流密度為大於純粹直流 • (DC)條件的程度。 _瓜來說,可以達成較高的電流密度。在根據先前 技術的金屬化中,最大可利用電流密度通常由所述通道或 • 所述通道/互連接觸區域的電流負載能力所限制。在根據本 發明的解決方案中,可以選擇與需要的平面間接觸區域。 _根據銅互連阻抗在尺寸小於1〇〇奈米時,一種大大 增加稱為”尺寸(size) ”效果的效應,也就是說其特別在所 述,低平面中具有最小寬度,可以利用本發明或其發展所 顯著降低。此意味著特別是在這些平面中的所述電阻電容 元件,提升至較在標準結構中的較小程度,且可以 、緩或凡全避免狀’,超低介電常數,,介電質或是空氣間 隙。 本發明或其發展可以實作新穎的積體組件或是被動組 14 件,其具有以前從未達成的物理品質因子: π -利騎顺先前互連平_倍化或三倍化的方式獲 得非常厚的金屬層,其可㈣造具有非㈣品 ^ 圈,特別是具有低串連阻抗, 、的線 -藉由在互層或内層基礎上的低串連及接觸阻抗,供 應具有1¾品質因子的大面積電容,
-實作較完美屏護的共軸線或無線頻率( 互連’例如大於丨百萬赫或大於1兆赫,將首次= 信賴的。 ^ 本發明或其發展與先前技術相比之下料—種更可 及更節省成本的製造實作: -本發明或其紐形成的極端情況,是—種可以特別 f單=波紋結構中實作的結構。其因此包括—種單一、多 次重複的模組,而僅需要極少的製造設置。
一-所述臨界與產出限制步驟,例如通·刻、清潔、 以局深寬比進行填充、高度審視與纽比轉等,都可以 在_本㈣或其發展時,於其位置或平面+完全或部分 的省去。較高的產出意味著每個¥的較低成本。 …叫私叫丨H水tf、j 氐攻本0 -可錢成在金屬化巾的較高難密度,因為可以產 ^與以前概之下的較Α接觸_。就紐由而言,便不 需要為了確保—最小接觸面積,而存在對於 不利影響的偏斜(重疊)。 有 通常在先前猶t為了安全賴較高電流密度的目 15 1323921 的或是增加產出的目的’所需要的,,多餘,,通道,可以利用 更簡單及更谷易的方式實作,也就是說特別在使用X與y 方向中具有最小尺寸的最少可能離散通道時,並不需要額 外增加面積。 •本發明或其發展准許利用放大所述垂直尺寸的方 式,增加互連的橫斷面,而因為可以減少侧向尺寸,便因 此可以郎省相關面積。
-本發明或其發展在設計上具有較大的自由度與選擇 性。 可以選擇性的與先前技術結構結合(例如,根據傳 統結構的最小寬度下方互連,以及根據所述新穎結構的較 高、全域互連)。 _在所述”前者,,離散通道平面中的互連側向尺寸,可以 在所述(波紋)設計酬㈣景巾,以連續變數方式及任 意方式選擇。
_ 本發明或其發展,其可能滿足結合或埋嵌技術 的不同要未,錢極性氧化金屬铸體(BiCM0S) 技術中的”厚”及”薄,,的接線。 均句的ΪΓ月或其發展可以㈣上的電流分佈較佳並較 功率損失舰之τ,祕具她_連阻抗, 並可減少在所述斷物,,重複器 -本發明或其發展對所述互連中的電晴容(RC)元 16 件亚無不·彡響’其愈增地關賴效能。重要的是本發 明或其發展提供-種在之前無法獲得的技術解決方式,其 用於減少互連的阻抗,而衫同時增加互連之騎述接線 與所述垂直電耦所需要的面積。 ,、 元整考里也顯示本發明或其發展對於所述電阻電 | (RC)元件的正向效果,例如其電容因子並不直接正比 於所述互連厚度而增力^,而是_較小的範圍,而且所形成 的電阻電谷(RC)產品也因此可以更小。所述尺寸,,的縮 減效果也可以形成一種小型電阻電容(RC)產品。 此外,在局部接線情況中,其通常不是由線電容所 主導,而是由被驅動電容所主導,例如所述金屬半電晶體 的間極電容’其具有減纽抗的效果,而因此所述阻抗在 所述電阻電容(RC)產品中正比於1/d,也同樣具有正向效 果,其中d為一金屬化層上互連或是多數金屬化層上兩倍 化或三倍化互連的總厚度。 廷不但可以在一種手工最佳化的,,完全傳統,,配置情況 中使用,也可以在所述合成,,半傳統,,區塊_利用到目前為止 的普遍接線概念-中使用。其因此可能根據要求,利用6個 單一互連平面及5個通道平面(在較小效能關鍵的區塊) 的方式’或是利用4個雙重互連平面及3個通道平面(在 效能關鍵的區塊)的方式’而在相同晶片上實作例如11層 金屬層。 接線便藉此可以具體指明,可以被部分或完全省去在 所有或在選擇平面主導垂直電流傳輸的所述標準金屬化離 17 ' 散通道,並利用互連平面所取代。此意味著所述相關金屬 層可以在此層或平面中垂直地或側向地負載電流。 總結來說’本發明或其發展與下述技術問題的降低或 解決相關: -在未來大尺寸積體半導體系統中’避免接線變的更 複雜,或是避免所謂的”接線災難”, •降低接線阻抗,特別是在電壓供應線(電力輸送) • 與電感的情況中, -減少所述電阻電容(RC)元件,例如在匯流排系統 的情況中, . -由屏護關鍵線的方式減少電耦或干擾的情況,特別 ' 疋利用例如共軸線的三維(3D)接線以及無線電頻率(rf) 互連所減少, -減少功率損失以及所述組件或積體電路裝置的加熱 效應’特別是利用具有相對介電常數小於3.9或小於3的” •低介電常數”介電質, -根據鋼互連將非反應阻抗提升至大於如9〇奈米尺寸 技術中所預期的範目,在未來接線+減彡、所謂的,,尺寸效 應”。 _減少所述可靠損失,特別是由電流密度/阻抗關鍵互 連/通道轉移所造成的損失, _利^狹窄,,處理窗,,所形成的產出損失及複雜處理實 作,特別S利用減少產出限制通道的需要數目以及利用所 述較少要求的”單一波紋結構,,。 18 1323921 【實施方法】 第1圖顯示穿過一積體電路裝置10金屬化的橫斷面。 所示橫斷面位於正交於一基板20主要區域平面所延伸方向 的平面中,同樣的,所述基板包含多數個積體組件,例如 積體雙極電晶體或場校電晶體。
卡式座標系統12具有X軸14、y軸16與z軸18。在 第1圖中顯示的橫斷面則位於x_z平面中。相比之下,所述 基板20的主要區域位於X_y平面中。聯結第2至第6圖所 顯示的座標系統112、212、312、412與512,且對於座標 系統12所進行的陳述也適用於這些座標系統的位置。 所述電路裝f 10在所述基板2〇之上直接包含一接觸 層22,特別是包含接觸K2、K4、K6、K8、Kl〇及κι2。 所述接觸Κ2至Κ12提供垂直電流傳輸。做為範例,所述
接觸Κ2至Κ12是由鶴所形成’其包含以不同於鶴的材料 所製造的導電線層。所述接觸Κ2至Κ12都具有相同的側 向尺寸。 在所述接觸層22 _造_,供應例如由二氧化石夕所 製造具有電絕緣性質的絕緣層24。微影方法的協助, 在=述絕緣層中侧用於所述接觸Κ2至κΐ2的接觸洞。 接著沈制輯充所述接_的材料。之後進行用於接觸 Κ2至Κ12的材料沈積。接著進行例如一種化學機械研磨 的ΞΤϋ驟,移除用於所述接觸Κ2至κΐ2接觸洞外側 的填充層材料與接觸材料。在所述平面化之後,沈積一電 19 1323921 =輔助層26 ’其與所述絕緣層25相比之下厚度較薄。做 為现例,所述絕緣層24的厚度介於1〇〇奈米至5⑽太 間的範圍。所述輔助層26的厚度則例如介於如太^至、乃 奈米之間的範圍。所述辅助層26做為—_終止層及域抵 阻滯層。舉例而言’做為所述輔助層26的適當 材料為氣化碎。 ❿ 沈積所述辅助層26之後,進行絕緣層3〇的沈積,舉 例而言’其利用具有厚度介於·奈米至5〇〇奈米範圍之 間的電力絕緣材料所製造。利用微影方法製造用於金屬化 層28導電結構的溝渠及切割(cut〇ut)或洞,其在之後也 稱為金屬化層1。在所述金屬化層28中的導電結構彼此在 X方向中具有不同尺寸。此外,所述導電結構彼此在y方向 :也具有不同尺寸。在綱做為金屬化層28導電結構的溝 木及刀口J (cutout)期間’利用一種過度钕刻的效果將所述 輔助層26貝穿。接著製造局部互連34、與%以及通道 40’尤其是傳統波紋技術之中。所述互連於X方向中延 伸,並與所述接觸K2及K4連接。相比之下,所述互連% 於y方向t延伸’並將所述接觸從連接至一(未描述)導 電、…構或疋所述接觸層22 (未描述)接觸。所述互連 38於X方向中延伸,並與所述接觸及㈣連接。所述 互連34、36及38則具有例如介於8〇奈米與2〇〇奈米範圍 之間的最小側向寬度。所述互連34、36及38的長度每- 個都大於例如500奈米,但小於_,特別是小於ι〇微 米。 20 相比之下,所述通道4〇在x方向與y方向中具有相同 的側向尺寸,並做為將所述接觸12連接至配置在所述金屬 化層28上金屬化層42的互連。在製造所述金屬化層42之 前,再次以化學機械研磨(CMp)步驟的協助進行平面化。 第1圖另外顯示所述互連34、36及38及所述通道4〇 的各別底部區域B2、B4、B6及B8以及各別頂部區域D2、 D4、D6及D8。在所述示範實施例中,所述頂部區域说 至D8位於一平面之中。相比之下,所述底部區域B2至則 由於在所述金屬化層28中接觸層22的較佳互相响合,而 位於兩平面之中’但所述兩平面之間的距離小於%奈米, 且特別是小於20奈米。職錢化層22與28财此距離 中互相唾合。 在所述化學機械研磨(CMP)步驟之後,沈積一辅助 層32,對於所述材料組成與厚度則與對於所述輔助層% 的陳述相同。在沈積所述獅層32之後,_另一具有電 力絕緣性質的絕緣層44,而在所述示範實施例中,其厚度 小於所述絕緣層30,例如至少小於5〇奈米。製造所述金屬 化層42的互連48與50,尤其是利用一單一波紋方法的協 ^於所述絕緣層44帽造。此外,所述金屬化層42也包 含(未描述的)通道。所述導電結構48、5〇則_與所述導電 、’口構34至40的相同方式·利用一種像是氮化鈕層的填充層 所填充’以做為銅擴散阻滯。所述互連48在χ方向中延伸, 絲了處理限制以外’具有與所述互連34的相同長度。因 此’所述互連48提供所述互連34與配置在所述互連48上 21 -人-較而金屬化層52導電結構之_垂直電流傳輸,也同 樣提供介於所述翻K3與K4之_賴電流傳輸 。所述 互連50於y方向中延伸,並提供所述通道40的連接。 在一化學機械研磨(CMP)步驟之後,再次沈積一輔 助層46 ’對於所述材料組成與厚度職對於所述輔助層% 的陳述相同。接著沈積具有電力絕緣性質的絕緣層%,其 八有例如與所魏緣層Μ的相同厚度,或是大於所述絕緣 層44厚度50奈米的厚度。在所述絕緣層54中,利用單-波紋方法的協助製造用於互連與通道的蝴(加_),可 參考例如胁錢58 _割。在—電__之後,再次 進行一化學機械研磨(CMP)步驟。 接著提供-電力絕_助層56,對於所述材料組成與 厚度則與對於所述輔助層26的陳述相同。 接著供應具有電力絕緣性質的金屬化層6〇絕緣層 62其中製造通道與互連66、Μ兩者。所述金屬化層6〇 的導電結構也單—波紋方法的協助所製造。 在次一化學機械研磨(CMP)步驟之後,供應一輔助 層64,對於所述材料組成與厚度則與對於所述辅助層26 的陳述相同。接著供應—絕緣層72,其中製造-金屬化層 7〇的導電結構74與%。另一金屬層8〇則利用點符號所指 出。 所述互連58在χ方向中佈置,並在其左手端與所述互 、48相鄰。所述互連58的中間部分則與所述互連66相鄰。 所述互連58的右手端則與χ方向中佈置的所述互連68相 22
所述互連74於y方向中佈置。所述互連76於χ方向 中佈置,並在其左手端與職互連68的右手部分相鄰。所 f互連68 _段細至細以及所述互連68的各別區 段’並不無何另外導電結構相鄰。所频段細 與細每一個分別都具有所述互連58及68至少五倍寬度 的長度。 &
因此,在x_y平面中,所述接觸層22以及所述金屬化 層28/ 42、52、60、70、80平行於所述基板2〇主要區域。 第2圖顯示一積體電路裝置110金屬化的三維視點, 其與所述電路裝置10才目同,包含多數個連續互連層吣至 M5。所述電路農置11〇導電結構也同樣利用單一波紋技術 所製造’其參考結果則與利用對於第丨_說明所給定。 所述電路裝置110同樣也包含例如利用單結晶石夕所製 造的半導體基板120。一接觸層122,舉例而言,其與所述
接觸層22同樣建構’則位於第2圖中描述一半導體基板 與一第一金屬化層之間。在y方向中佈置的互連124則在 所述重疊金屬化層M1中描繪。一互連126則特別配置於 在所述金屬化層Ml上的金屬化層M2之中,所述互連具有 三個連續區段A2、A4與A6,所述兩區段A2與A6佈置於 y方向中。所述區段A4在其端點處連接所述區段八2與 A6,並在χ方向中佈置。所述區段A6則例如長於所述區 段A2。 所述互連128與130則描繪於配置在所述金屬化層M2 23 以上的金屬化層M3中。所述互連128從其相鄰的所述區 段A4中間部分於y方向中延伸。相比之下,所述互連 從其相鄰的所述區段A6自由端於χ方向中延伸。做為範 例,所述金屬化層M3在所述區段Α2自由端也包含一通道 140 ’以替代所述互連128。 所述金屬化層Μ4包含一互連132,其包含區段Α10、 Α12、Α14與Α16,並依序位置於y方向、χ方向、y方向 與X方向之中,其形成一矩形或方形框的3/4。所述區段 A16與所述互連128相鄰。做為替代,所述通道“ο則與 所述區段A10相鄰。 一互連134則描繪於配置在所述金屬化層M4以上的 金屬化層M5中’所述互連依次含有區段A2〇、A22、A24 與A26。在所述金屬化層M4中的區段A20至A26則與在 所述金屬化層M3中區段Αίο至A16的相同位置,在兩層 中相同的χ、y位置則做為參考點。因此,做為範例,所述 區段A20直接位於所述區段Αίο上方,並沿著其完全長度 與所述區段A10相鄰。所述互連132與134因此形成一種 所謂的”雙重”互連,其線橫斷面便獲得放大’例如與一互 連132或134橫斷面相比之下的兩倍大小。在另一示範實 把例中’也可以利用三倍或多於三倍的方式,在彼此上方 進行互連配置’例如為了高電流流動的線通道,特別是為 了供應電壓線。 介於一互連區段之間的邊界,例如其可以用來定義介 於所述互連改變其方向位置處,内部與外部邊緣之間的連 24 接區域’舉例而言,參考輔助線136。接著,長度指示則盘 例如所述輔助線136的平均長度有關。 /、
所有所述金屬化層M1倾5都另外包含像是(未描述 的)通道導電結構,其只提麵直電流傳輸,並例如在X 方向與y方向中具有相同尺和做為替代,在所述金屬化 層Ml至M5中並不存在通道。
第1圖與第2圖結構顯示所述解決方法。在本發明中 的平面選擇可錄馳術、需要、縣與觀合組件而決 定。所述應用較佳的是在一種,,全體互連,,的一或多數層之 中作用,例如在f力執道(rail)或電力_ (數位電路區 塊)、功率匯流排線、靜電放電(ESD) _排(類比/混合 信號電路區塊)、三維接線(共軸)'電感、無線電頻率 互連等等之中。然而,也可以在,,局部互連,,的情況中使用, 像是靜電放電(ESD)㈣元件的連接,並㈣魏點而 言是有用的。
第3圖顯示顯示在一積體電路裝置21〇金屬化中配置 的線圈221或電感。首先,進行用於在例如矽晶圓基板22〇 上製造微機電裝置的處理步驟,以製造所述電路裝置21〇。 接著製造一接觸層的(未描述)接觸。依序製造一金屬化 層Mia與一金屬化層M2a’例如利用彼此依序進行的兩次 單一波紋方式。第3圖描述所述金屬化層Mia的互連222, 其在y方向中佈置,並形成所述線圈221的供應線。 所述金屬化層M2a包含一通道224,尤其是鄰近於所 述互連222的一端。所述金屬化層M2a (於第3圖中未顯 25 1323921 =的-互連則介於所述互連另一端與所述線圈22i捲繞 利用沈積金制介電質(蠢)層的方式以單一波紋 ^結構製造次-金屬化層M3a,其例如由二氧化 ,ι電參數的材料所製造,並利用參考第ι圖所說明的 去’製造選擇性的餘刻終止、抗反射覆蓋(就)與硬 ^遮罩層。接著傳統阻抗覆蓋、微影、條狀侧與清 潔步驟的方式’製造用於互連226的翻。所述溝渠且有 例如從0.5微米至2微米範圍間的深度。所述阻滯與互連材 料的沈積以及後續化學機械研磨(CMp)及清潔步驟,以 及介電覆蓋層的沈積,是以隨意幾何利用單一波紋(SD) 結構所進行的金屬化層M3a製造。所述互連226的内部端 鄰近於在所述金屬化層M2a中線圈221-在第3圖中由所述 線圈轉圈所隱藏-的互連。此外,在所述金屬化層M3a中, 在所述金屬化層M2a中所述通道224的相同位置處,製造 —通道228。 一金屬化層M4a在此位置處以離散通道替代一平面或 層。所述第四金屬化層M4a的侧向尺寸則適應於在線圈製 造位置處的所述金屬層M3a,而(在設計規則的脈絡中) 於其他位置處則可以任意選擇。接著,所述溝渠深度則介 於以上指明的範圍之中。在單一波紋(SD)結構中製造金 屬化層M4a的步驟序列,基本上對應於所述金屬化層M3a 的敘述。在所述金屬化層M4a中製造一互連230,所述互 連具有與所述互連226的相同方向,並在其完整方向中與 26 1323921 所述互連226相鄰。此外,所述金屬化層M4a在所述通道 228上包含一通道232。 利用所述模組建構,可以在單一波紋(SD)結構中利 用相同的處理步驟製造一金屬化層M5a。所述尺寸則類比 於前述步驟。其中製造一互連234,其具有與在所述線圈 221 g域中互連230的相同方向,並另外形成所述線圈221 的另外連接。所述金屬化層M5a另外包含配置在所述通道 232上的通道236。 如同可從第3圖所見,因此所製造的集成線圈221具 有例如在1.5微米至6微米範圍之間的捲繞高度,其與在傳 統雙重波紋結中必須以困難及高成本耗費方式所實作的 相同。所述捲繞橫斷面,也就是其寬度乘以高度,是明顯 大於標準結構中的相對應組件。在具體項目上,所述捲繞 的總高度為標準結構中的相對應線圈的三倍,或至少一倍 半的高度。此意味著利用其他相同的尺寸,能夠具有相較 於參考線圈為明顯高的物理品質因子。做為替代,可以透 過根據本發明具有所述結構,獲得與標準結構相比之下具 有較佳品質因子、具有較小側向尺寸的線圈,其也形成顯 著的面積節省。所述連接的供應與朝外配置便因此受到影 響,例如在所述金屬化層M5a的最頂層,或是藉由一種,, 下方通道(underpass),,協助下的最下方層(在此為第一 層)。 所述線圈221具有利用所述三重互連226、230與234 的連續區段入、8、(2、〇、£4及0所形成的1又3/4圈。 27 1323921 所述區段A、C、E與G在y方向中延伸’並具有依序減少 的長度。相比之下’所述區段B、D與F在X方向中延伸, 並同樣依序具有減少的長度。所述互連226、230與243的 寬度位於例如1微米至10微米的範圍中。所述互連226、 230與234的長度每一個都介於例如10微米至5〇〇微米的 範圍之間。
所述線圈的另外處理與完成,則由傳統的方法與處理 所產生。 ' 在其他示範實施例中,所述線圈221位於其他金屬化 層之17,或疋所述捲繞只位於二、四或多於四個的金屬化 層之中。
第4圖顯示在一積體電路裝置31〇金屬化中配置的共 軸線321。首先’實作在例如一矽晶圓基板32〇上製造微機 電組件的處理步驟。之後以此領域的已知步驟進行(在第4 圖中未描述)接觸以及一金屬化層的製造。在所述乓 軸線321位置處,-互連322形成所述共軸線切的基礎 平板,並具有特別寬的矩形輪廓,例如介於1〇微米至如 微米範圍之間的寬度,特別是具有14微米的寬度。 之後利用沈積金屬間介電質(IMD)層(二氧化矽 是低介電參數材料)的方式以—單—波紋(SD)結構製^ 金屬化層M2b,以傳統的方法製造選娜_祕止 縣覆蓋(ARC)與硬式群層。接著另外_傳統二 覆盍、微影、紐細與清潔步_方賴雜渠= 阻滞與互連材料的沈積以及後續化學機械研磨(c卿)^ 28 清潔步驟,以及介電覆蓋層的沈積,是以隨意幾何利用單 一波紋(SD)結構所進行的金屬化層製造。在所述共 軸線位置處,所述第二金屬層的寬度則例如為2微米,是 明顯小於所述下方層的寬度。兩互連324、326則於所述金 屬化層M2b中終止,例如與所述互連322的縱側切齊。 利用所述模組建構,可以在單一波紋(SD)結構中利 用相同的處理步驟製造一金屬化層M3b。所述互連與 332的位置、長度及寬度與在所述金屬層^分別用於 互連324與326的數值相符。此外,在用於所述金屬化層 M3b的遮罩中,在處理的過程中提供並製造例如具有:微 米寬度的另-互連330。所述互連33〇位於所述金屬化層 廳中兩外側互連328與332的+央位置。所述互連^ 與所述完整共軸線321相同於χ方向中延伸,例如多於其 5〇微米或多於其1〇〇微米。 ’、 再次利用所賴組建構,可以在單一波紋(SD)结構 中利用相_處理步驟製造一金屬化層遍。所述互連似 與332的位置、長度及寬度與在所述金屬層娜中分別用 於互連324與326的數值相符。所述互連324、328金 形成所述共轴、線321的一側邊。相比之下,所述互連你、 332與336形成所述共軸線321的另一側邊。 同樣利騎述模組建構,可簡次在單—波紋⑽) 细相_處理步驟製造—金屬化層娜。形成所 I、軸線321頂部平板的互連328 與在所述金屬化層嶋中用於所述互連 29 丄丄 相符。在所述周圍方向中完全閉合的屏護鞘型結構,也已 經圍繞在所述金屬化層M3b中所配置的中央共軸互連33〇。 在所描述的處理步驟中,已經對於目前有興趣的組件 陳述其尺寸。不用另外說明,在設計規則的脈絡中,也可 以在具有其他侧向尺寸晶圓結構的其他位置上製造屬於其 他電路部分’特別是以第1圖至第6圖所說明的電路襄置。 所述電路的其他處理與完成則利用傳統的方式與處理 所完成。在其他示範實施例中,利用多於五個金屬化層做 為所述共軸線的製造。做為範例,接著便由在兩金屬化層 中的互連形成所述屏護中央線。具有多數彼此電力絕緣互 連的共軸線也可根據另一示範實施例所製造,所述内部導 體則位於一金屬化層或多婁文金屬化層以及所述鞘型範圍 I,例如垂直於所述共軸、線的縱抽,並具有方形或矩形的 横斷面。在其他示範實施例中,所述共軸線的方向在例如x 方向與y方向中改變。在各自金屬化層Mlb至M5b之中的 V電、、、。構尺寸也可以進行選擇,例如具有介於Ο 〗微米至2 微米範圍之_於所述内部導體或用於所述側邊的最小侧 向寬度。 第5圖顯示在一積體電路裝置42〇金屬化中配置的線 圈421。首先’實作在例如一矽晶圓基板420上製造微機電 組件的處理轉。之後財考第3 _朗的技術方式, 進行(未描述)接觸層與金屬化層Mlc及金屬化層脑導 電結構的製造。這造成至所述金屬化層Mlc中互連422的 提升’所敍連於其財、其錄以及騎料賴應於所 30 1323921 述互連222。形成對應於所述通道224的通道424,尤其是 在所述金屬化層M2c之中。一互連425則對應於參考第2 圖所說明金屬化層]V[2a的互連。 利用製造所述金屬化層M3a的方法製造所述金屬化層 M3c,所述線圈421的互連326則對應於所述互連226,並 特別產生對應於所述通道228的通道428。用於所述互連 426的適當互連材料則為鎢或銅,但以可以使用鋁、金、銀 或其他材料。所述金屬化層M3c在此位置處以離散通道取 代平面。 接著利用反應離子蝕刻(RIE)或是其他的減去式圖形 化技術方式製造金屬化層M4c,製造對應於所述互連23〇 的互連430,以及對應於所述通道232的通道432。在所述 化學機械研磨(CMP)處理進行之後沈積一阻滯層或像是 氮化组/鈦/氮化鈦的阻滯層堆疊,其具有例如15奈米/1〇奈 米/20奈米的厚度,之後沈積具有2 8微米鋁銅或是具有例 如40奈米厚度選擇性氮化鈦層的沈積。死數沈積接著在一 種物理氣象沈積(PVD)工具中完成,其不需要真空中斷。 所述第四金屬化層M4c的側向尺寸與在所述被製造線圈 421位置處的金屬化層M3c相適應,或是稍微大於其尺寸, 而(於设計規則脈絡中)在其他位置處則可以任意選擇。 接著在例如一種含氯電漿反應離子蝕刻處理(氣/三氯化爛 化學藥劑)中,利用端點偵測的方式將所述金屬層堆叠進 行圖形化。 接著也可以替代的完成以下步驟: 31 —a)已知被動保護層的沈積 氮化矽(Si3N4),或 石夕 )一虱化矽及高密度電漿(HDP)二氧化 襄造,具有足夠的總厚度(在 ::學频,平面化,並在產二
早电漿增強化氣相沈積(PECVD)二氧化石夕金 ^電質(細)層。其厚度職據接著在單—波紋结= —所製造的後續金屬平面要求厚度所選擇。所述用於在單 於^紋結構中選難金屬化層紙賴造步驟序列則對應 二、於所述金屬化層M3e的描述,並製造對應於所述互連 4的互連434以及對應於所述通道236的通道436。
及圖形化’像是二氧化砂/ -如果所述處理是錄據說明a)職動製造所終止,則 已經製造具有麟捲高度為例如4 G财 其與在傳賊賊構W誠高縣耗圈費方式 所實作的姻。在例如6〇χ8〇平方微麵被動層中,可透 過對應開u 41G同時將她墊442移除覆蓋,所述概塾是 用於已知的黏合或產生接觸的目的。所述__樣的配 置於所述金屬層M4e之中。此波紋與反應離子侧(RIE) 結構的結合便因此特別Μ於具有高品質因子線圈的節省 成本製造。 有關所述互連426、430與434的區段a至g區段配置, 則分別參考互連226、230與234的區段a至G區段,其 已經參考第3圖所說明。其他電路的處理與完成則=用傳 32 統方式與處理進行。 第6圖顯示穿過具有一積體電路裝置510的金屬化橫 斷面,所述積體電路裝置510包含一電容器52卜所述電容 器裝置521在例如六個依序彼此相鄰的金屬化層53〇至538 上延伸。所述電容器裝置包含: 導電結構582,其具有與在所述金屬化層53〇中電 各器裝置521中間導電結構相比之下的較大面積,所述導 電結構582同時形成一基礎平板與一連接平板,做為所述 電谷器裳置521的垂直電極, 導電結構584,其具有與在所述金屬化層580中電 容器裝置521中間導電結構相比之下的較大面積,其具有 例如與所述導電結構582的侧面積,並形成所述電容器 裝置的頂部平板,或是做為所述電容器裝置521的垂直電 極, 第一垂直部分電極,其包括在z方向中延伸的三個 導電結構600、602與604 ’所述導電結構6〇〇、602與604 以此次序分別位於所述所述金屬化層540、550與560之 中’並具有同樣的x位置。所述導電結構600與所述導電 結構582相鄰。所有的三個導電結構600、602與604都具 有大於其寬度五倍的長度。 第一垂直部分電極,其包括在z方向中延伸的三個 導電結構610、612與614,所述導電結構610、612與614, 以此次序分別位於所述所述金屬化層55〇、56〇與57〇之 中,並具有同樣的x位置。所述導電結構614與所述導電 33 結構584相鄰。所有的三個導電結構61〇、612與614都具 有大於其寬度五倍的長度。 弟二垂直部分電極’其包括在z方向中延伸的三個 導電結構620、622與624,所述導電結構620、622與624, 以此次序分別位於所述所述金屬化層54〇、55〇與560之 中’並具有同樣的x位置。所述導電結構62〇與所述導電 結構582相鄰。所有的三個導電結構620、622與624都具 有大於其寬度五倍的長度。 第四垂直部分電極,其包括在z方向中延伸的三個 導電結構630、632與634,所述導電結構630、632與634, 以此次序分別位於所述所述金屬化層55〇、56〇與之 中,並具有同樣的x位置。所述導電結構634與所述導電 結構584相鄰。所有的三個導電結構630、632與634都具 有大於其寬度五倍的長度。 第五垂直部分電極’其包括在z方向中延伸的三個 導電結構640、642與644,所述導電結構64〇、642與644, 从此次序分別位於所述所述金屬化層54〇、55()與56〇之 中,並具有同樣的x位置。所述導電結構64〇與所述導電 結構582相鄰。所有的三個導電結構64〇、642與644都具 有大於其寬度五倍的長度。 -一第六垂直部分電極’其包括在z方向中延伸的三個 導電結構650、652與654,所述導電結構65〇、652與654, 以此次序分別位於所述所述金屬化層55〇、56〇與57〇之 中並具有同樣的X位置。所述導電結構654與所述導電 34
二個導電結構_、652與654都具
形成=述電容H第—主要魏部分的所述第 戶斤述·弟二垂直電極斑辦2哲π t i i . 在其他實施例中,所述中間導電結構於x方向中延伸。 所述電容ϋ裝置制多於或少於六個金屬化層。所述垂直 電極的數量也因歧變,賴如介於2至⑽之間。 在所述示範實施例中’在第6圖中描述的導電結構是 利用銅或銅合金以單-波紋技術所製造。然而,在其他示 範實施例中,也可以使用不同的材料及不同的製造技術, 例如利用IS合金’並藉由反應離子_ (RIE)方法的協助 進行圖形化。 參考第3至第6圖所說明的被動組件也可以與具有交 替互連層及通道層的傳統金屬化結合,也可以與具有多數 連續互連層的新穎金屬化結合。 35 1323921 【圖式簡單說明】 以下將參考伴隨圖示說明本發明的示範實施例,其中: 第1圖顯示穿過一積體電路裝置金屬化的橫斷面, 第2圖顯示一積體電路裝置金屬化的三維視點, 第3圖顯示在金屬化中配置的線圈, 第4圖顯示在金屬化中配置的積體共軸線, 第5圖顯示在金屬化中配置的線圈,以及 第6圖顯示穿過具有一積體電容器的金屬化横斷面。 【主要元件符號說明】 10 積體電路裝置 12 座標系統 14 X軸 16 y軸 18 z軸 20 半導體基板 22 接觸層 K2 至 K12 接觸 24, 30, 44, 54, 72 絕緣層 26, 32, 46, 56, 64 輔助層 28 第一金屬化層 34 至 38 互連 B2 至 B8 底部區域 D2 至 D8 頂部區域 36 1323921
40, 140 通道 42 第二金屬化層 48,50, 58, 66,68, ΊΑ,16 互連 52 第三金屬化層 60 第四金屬化層 62 介電層 70 第五金屬化層 80 金屬化層 110 電路裝置 112 座標系統 120 半導體基板 122 接觸層 ΜΙ 至 Μ5 金屬化層 124 、 126 互連 A2 至 A6 區段 128 至 134 互連 136 輔助線 A10 至 A26 區段 210 電路裝置 212 座標系統 220 基板 221 線圈 222, 226, 230, 234 互連 224, 228, 232, 236 通道 37 1323921 A至G Mia 至 M5a 310,410 312,412,512 320, 420 Mlb 至 M5b 321,421 • 322 至 328
Mlc 至 M5c 422, 425,426, 430 424, 428,432, 434, 436 a至g 440 442 510 φ 521 530 至 580 582 至 654 區段 金屬化層 電路裝置 座標系統 基板 金屬化層 線圈 互連 金屬化層 互連 通道 區段 切割 連接襯墊 電路裝置 電容器 金屬化層 導電結構 38

Claims (1)

1323921 P年"月&日修正本 十、申請專利範圍: 1. -種具有-積敵件_體電路裝置,其特徵在於包 括靠近-基板的組件互連、一中間組件互連以及遠離 所述基板的-組件互連,其利用此次序以增加相距於 所述基板距離的方式配置,並在一級件區段中具有相 同方向, 在所述組件區段中,每—個所述組件互連都包含一平 面底部區域以及一平面頂部區域, 在所述組件區段中’每-個組件互連的長度都至少大 於其寬度的五倍,或是至少大於其寬度的十倍, ,述組件區段中’所述中間組件互連的頂;區域與 遠離所述基板的組件互連底部區域相鄰, f所述組件區段中,所述中間組件互連的底部區域與 罪近所述基板的組件互連頂部區域相鄰。 2,如申請專利範圍第1項所述的積體電路裝置,其中所 述組件區段形成一線圈(221)的至少一轉圈。 如申吻專利範圍第2項所述的積體電路裝置⑽),其 中所述中間組件互連⑽)的頂部區域沿著整個所述 組件區段(A至G)或是沿著至少5〇微米的長度而盘 遠離所述基板的組件互連(234)底部區域相鄰,。 且/或其中所述中間組件互連(23〇)的底部 :所馳件區段(八至_⑽、5。微= 4 :而與#近所述基板的組件互連(226)底部區域相鄰。 •如申請專利範圍第2項所述的積體電路裝置⑽,其 39 中罪近所述基板的組件互連頂部區域沿著所述完整組 件區段或是沿著至少5〇微料長度祕遠離所述基板 的組件互連底部區域相鄰。 5.如申請專利範圍第1項所述的積體電路裝置(1〇),其 中所述中間組件互連(230)力頂部區域沿著整個所述 組件區段U至G)歧沿著至少5G微麵長度而與 遠離所述基板的組件互連(234)底部區域相鄰, 且/或其中所述中間組件互連(23〇)的底部區域沿著整 個所述組件區段(A至G)或是沿著至少5〇微米的長 度而與靠近所述基板的組件互連(226)底部區域相鄰。 6* 一種具有一積體組件的積體電路裝置,其特徵在於包 括靠近一基板的組件互連,以及遠離所述基板的一組 件互連,其利用此次序以增加相距於所述基板距離的 方式配置,並在一組件區段中具有相同方向, 在所述組件區段中,每一個所述組件互連都包含一平 面底部區域以及一平面頂部區域, 在所述組件區段中,每一個組件互連的長度都至少大 於其寬度的五倍,或是至少大於其寬度的十倍, 在所述組件區段中,靠近所述基板的組件互連頂部區 域與遠離所述基板的組件互連底部區域相鄰。· 7. 如申請專利範圍第6項所述的積體電路裝置,其中所 述組件區段形成一線圈(221)的至少一轉圈。 8. 如申請專利範圍第6項所述的積體電路裝置(1〇),其 中罪近所述基板的組件互連頂部區域沿著所述完整組 界年’月>r日修⑧正替:. 區#又或疋/σ著至少5〇微米的長度而與遠離所述基板 的組件互連底部區域相鄰。 9.如申明專利範圍第7項所述的積體電路裝置(1〇),其 中所述中間組件互連⑵〇)的頂部區域沿著整個所述 ^件區段(Α至G)或是沿著至少5〇微米的長度而與 遠離所述基板的組件互連(234)底部區域相鄰, 且/或其中所述t触件互連(23G)的底部區域沿著整 個所述f件區段(八至〇)或是沿著至少如微米的長 度而與靠近所述基板的組件互連⑽)底部區域相鄰。 1〇.如:請專利範圍第7項所述的積體電路裝置(10),其 中靠近所述基板的組件互連頂部區域沿著所述完整組 件區段或是沿著至少50微米的長度而與遠離所述基板 的組件互連底部區域相鄰。 u.如申β月專利範圍第1至10項中任-項所述的積體電路 裝置’其中所述組件互連分別位於一導電結構層中, 或位於一各別的導電結構層中。 12. 如申請專利範圍第u項所述的積體電路裝置,其中在 所述互連的頂部區域上配置一種不同於所述互連内部 中的導電材料,其較佳的是為组、氮化纽、欽、氮化 鈦、鶴、氮化鎢或釕。 13. 如申請專利範圍第n項所述的積體電路裝置,其中一 導電結構層為一種具有接線互連的互連層,且其中一 相鄰於所述導電結構層的導電結構層為一種通道層, 或其中所述兩導電結構層為具有接線互連的互連層。 1323921 U· ^申請專圍第ί3項所述的積體電 上配置一種不同於所述互連内ί 二的:電材科,其較佳的是為组、氮化鈕、鈦、氮化 鈦、鎢、氮化鎢或釕。
5.如申請專利第13項所述的積體電路裝置,並 述每-個接線互連都具有一中間區段,其並不盘另一 導電結構層的任何導電結構相鄰,所述中間區段較佳 的是相距所述互連每一端點為至少三分之一的互連長 度。 16. 如申請專利範圍fl5項所述的積體電路裝置,其中在 所述互連的頂部區域上配置一種不同於所述互連内部 中的導電材料’其較佳的是為纽、氮化组、鈦、氣化 鈦、鎢、氮化鎢或釕。
17. 如申請專利範圍第1至1〇項中任一項所述的積體電路 裂置’其中在所述互連的頂部區域上配置一種不同於 所述互連内部中的導電材料,其較佳的是為钽、氮化 纽、鈦、IL化鈦、鎮、氣化鹤或舒。 42 1323921 月5日修正本
1/3
第2圖
136 A22
43 1323921
21
32 44 1323921
TW095134496A 2005-09-21 2006-09-18 Integrated circuit arrangement having a plurality of conductive structure levels and coil, and method TWI323921B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102005045059A DE102005045059B4 (de) 2005-09-21 2005-09-21 Integrierte Schaltungsanordnung mit mehreren Leitstrukturlagen und Spule sowie Verfahren zur Herstellung

Publications (2)

Publication Number Publication Date
TW200713478A TW200713478A (en) 2007-04-01
TWI323921B true TWI323921B (en) 2010-04-21

Family

ID=37832496

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095134496A TWI323921B (en) 2005-09-21 2006-09-18 Integrated circuit arrangement having a plurality of conductive structure levels and coil, and method

Country Status (4)

Country Link
US (1) US7656037B2 (zh)
CN (1) CN100543992C (zh)
DE (1) DE102005045059B4 (zh)
TW (1) TWI323921B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9178261B2 (en) 2012-07-11 2015-11-03 University Of South Florida Vertical microcoaxial interconnects
KR101503144B1 (ko) * 2013-07-29 2015-03-16 삼성전기주식회사 박막 인덕터 소자 및 이의 제조방법
US11024454B2 (en) * 2015-10-16 2021-06-01 Qualcomm Incorporated High performance inductors

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4776087A (en) * 1987-04-27 1988-10-11 International Business Machines Corporation VLSI coaxial wiring structure
DE69125210T2 (de) * 1990-05-31 1997-08-07 Canon Kk Verfahren zur Herstellung einer Halbleitervorrichtung mit einer Verdrahtungsstruktur hoher Dichte
KR940007461B1 (ko) * 1991-05-16 1994-08-18 금성일렉트론 주식회사 코일이 집적된 반도체 장치
US5943598A (en) 1995-10-19 1999-08-24 Stmicroelectronics, Inc. Integrated circuit with planarized dielectric layer between successive polysilicon layers
US5977635A (en) 1997-09-29 1999-11-02 Siemens Aktiengesellschaft Multi-level conductive structure including low capacitance material
US6672875B1 (en) * 1998-12-02 2004-01-06 Formfactor, Inc. Spring interconnect structures
JP2000269211A (ja) * 1999-03-15 2000-09-29 Nec Corp 半導体装置
US6480086B1 (en) * 1999-12-20 2002-11-12 Advanced Micro Devices, Inc. Inductor and transformer formed with multi-layer coil turns fabricated on an integrated circuit substrate
US6297524B1 (en) 2000-04-04 2001-10-02 Philips Electronics North America Corporation Multilayer capacitor structure having an array of concentric ring-shaped plates for deep sub-micron CMOS
US6690570B2 (en) 2000-09-14 2004-02-10 California Institute Of Technology Highly efficient capacitor structures with enhanced matching properties
WO2003085729A1 (en) * 2002-04-11 2003-10-16 Koninklijke Philips Electronics N.V. Method of manufacturing an electronic device
KR100441998B1 (ko) 2002-07-06 2004-07-30 삼성전자주식회사 반도체 장치에서 셀프 얼라인 콘택홀 형성 방법
DE10249192A1 (de) * 2002-10-22 2004-05-13 Infineon Technologies Ag Elektronisches Bauelement mit integriertem passiven elektronischen Bauelement und Verfahren zu dessen Herstellung
US7319261B1 (en) * 2002-11-21 2008-01-15 Analog Devices, Inc. Integrated MOS one-way isolation coupler and a semiconductor chip having an integrated MOS isolation one-way coupler located thereon
US6770512B1 (en) 2002-12-10 2004-08-03 Advanced Micro Devices, Inc. Method and system for using TMAH for staining copper silicon on insulator semiconductor device cross sections
US7253497B2 (en) * 2003-07-02 2007-08-07 Lsi Corporation Integrated circuit with inductor having horizontal magnetic flux lines
WO2007024322A2 (en) * 2005-06-20 2007-03-01 University Of South Florida Toroidal fluid conductivity sensor system and method
DE102005045060B4 (de) * 2005-09-21 2007-07-05 Infineon Technologies Ag Integrierte Schaltungsanordnung mit mehreren Leitstrukturlagen und Verfahren zu ihrer Herstellung
DE102005045056B4 (de) * 2005-09-21 2007-06-21 Infineon Technologies Ag Integrierte Schaltungsanordnung mit mehreren Leitstrukturlagen und Kondensator
US20080100408A1 (en) * 2006-10-25 2008-05-01 Chih-Hua Chen Inductor structure
US7463112B1 (en) * 2007-11-30 2008-12-09 International Business Machines Corporation Area efficient, differential T-coil impedance-matching circuit for high speed communications applications

Also Published As

Publication number Publication date
CN1941369A (zh) 2007-04-04
US20070071053A1 (en) 2007-03-29
DE102005045059B4 (de) 2011-05-19
US7656037B2 (en) 2010-02-02
DE102005045059A1 (de) 2007-03-29
TW200713478A (en) 2007-04-01
CN100543992C (zh) 2009-09-23

Similar Documents

Publication Publication Date Title
TWI310600B (en) Integrated circuit arrangement having a plurality of conductive structure levels and capacitor, and method
TWI258801B (en) New fuse structure
US7867787B2 (en) Forming inductor and transformer structures with magnetic materials using damascene processing for integrated circuits
US7670921B2 (en) Structure and method for self aligned vertical plate capacitor
TWI292204B (en) Semiconductor device and method for manufacturing the same
JP5104872B2 (ja) 容量素子及び半導体装置
US11735517B2 (en) Integrated circuit including super via and method of making
CN104040684B (zh) 厚的片上高性能布线结构
JPH09162354A (ja) 集積インダクタ構造およびその製造方法
JP4182054B2 (ja) 接続層を有する集積回路装置および関連する製造方法
US8487401B2 (en) Methods of fabricating passive element without planarizing and related semiconductor device
TW200818466A (en) Metal-oxide-metal structure with improved capacitive coupling area
US10256183B2 (en) MIMCAP structure in a semiconductor device package
TWI323921B (en) Integrated circuit arrangement having a plurality of conductive structure levels and coil, and method
CN108183087A (zh) 用于形成应力降低装置的方法
TW200843083A (en) Capacitor in an integrated circuit
CN1996597A (zh) 集成电路及其制造方法
KR100873450B1 (ko) 복수의 도전성 구조체 레벨을 갖는 집적 회로 장치 및 방법
JP5090688B2 (ja) 半導体装置
US10734444B1 (en) Integrated circuits with integrated memory structures and capacitors and methods for fabricating the same
TWI707401B (zh) 基本原則區域中完全對準介層窗
CN108630651A (zh) 具有浮岛的片上电容器
TW200915536A (en) Inductor for semiconductor device and method of fabricating the same
KR20230077451A (ko) 정전용량 향상 및 조절 가능한 실리콘 커패시터 및 그 제조방법
JP2011086701A (ja) 半導体装置およびその製造方法