TWI302718B - Patterning surfaces while providing greater control of recess anisotropy - Google Patents

Patterning surfaces while providing greater control of recess anisotropy Download PDF

Info

Publication number
TWI302718B
TWI302718B TW094132081A TW94132081A TWI302718B TW I302718 B TWI302718 B TW I302718B TW 094132081 A TW094132081 A TW 094132081A TW 94132081 A TW94132081 A TW 94132081A TW I302718 B TWI302718 B TW I302718B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
forming
pattern
etch
Prior art date
Application number
TW094132081A
Other languages
English (en)
Other versions
TW200620468A (en
Inventor
Michael N Miller
Nicholas A Stacey
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of TW200620468A publication Critical patent/TW200620468A/zh
Application granted granted Critical
Publication of TWI302718B publication Critical patent/TWI302718B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Description

1302718 .技術領域】 九、發明說明: I:發明所屬二 發明背景 一般而言,本發明之領域係有關結構的微製造及/或奈 米级製造。特別本發明係針對於基材形成凸紋結構。 先前技相 微製造涉及製造極為小型結構,例如具有微米級或更 小的結構。微製造具有相當大影響的一個領域係在半導體 製造的半導體處理產業。隨著半導體處理產 l〇 15 獲得更高製造良率,同時提高於基材上每單位面積形成的 電路,微製造的重要性逐漸增高。採用微製造的其它發展 頜域包括生物技術、光學技術、機械系統等。 傳統微製造採用光刻術技術來複製圖案於基材上。光 别術包括曝光工具與影像轉印程序的組合。為了達成該目 的,俗稱為光阻層的製程可相容的遮罩層用來提供期望的 圖=換吕之,製造光阻層的材料對曝光工具和影像轉印 化。結果’當決定適當光阻材料時考慮數項因素, 材料及光阻層材料對成像後處理的 ㈣後的㈣⑽及沈積料 j 外,複製具有良好界定蛀心子目谷!·生除了先阻層 特性決定。此等特性依據曝光工具的操作 徑、採用的波長和校準系統3非限於曝光卫具透鏡的數值孔 印微;=二:_刻術圖案化程序,俗軸 貝曝光工具技術的複雜度和極限。遷 20 1302718 印微影術方法之實例詳細說明於多個公開文獻,諸如美國 公開專利申請案2004/0065976,申請日2002年10月4日申請 為美國專利申請案第10/264960號,名稱「排列結構於基材 上來複製具有極少維度變化之方法及模具」;美國公開專利 5申請案2〇〇4/〇〇65252,於2004年10月4日申請為美國專利第 10/264962號,名稱「於基材上形成一層來輔助度量衡標準 製造之方法」;以及美國專利第6,936,194號,名稱「壓印微 影術程序之實用圖案化材料」,全部各案皆讓與本發明之受 讓人。 10 15
刖述各個公開專利申請案所揭示之基本壓印微影術技 術包括形成凸紋圖案於可聚合層,且將與該凸紋圖案相應 的圖案轉印至下方基材。為了達成該項㈣,採用樣版: 樣版與基材間存在有可成形液體來與基材隔開。該液體可 固化而形成為©化層,棚化層具有圖案記錄於其中且节 圖案係隨形於與該液體接觸的樣版表面形狀。羑= 接受處理來將與層㈣案相制μ輯2 通常,使用 該表面的各向 接觸依賴 、私度愈大,則凸_像的失真愈大。 成凸1=要提供^方絲料有-結_基材上形 C ^^明内】 發明概要 20 1302718 本發明係有關一種對基材製作圖案之方法,包括於該 基材上形成多層膜,其界定蝕刻速率界面,該蝕刻速率界 面具有多個第一部其具有相關的第一蝕刻速率。多層膜包 括具有相關第二蝕刻速率的第二部。相鄰的第一部係由第 5 二部所隔開。圖案轉印至基材上,部分係由接面所界定。 第一蝕刻速率與第二蝕刻速率間之差異經選擇來減少於該 圖案所形成之凹陷結構的彎曲。此等及其它具體例係如此 處說明。 圖式簡單說明 10 第1圖為根據本發明之一個具體例,一種多層結構之簡 化剖面圖; 第2圖為根據本發明之一個具體例,於第1圖所示多層 結構接受全面性蝕刻來形成冠面後之簡化剖面圖; 第3圖為根據本發明之一個具體例,第2圖所示多層結 15 構於冠面接受蝕刻來形成凹部於部分基材後之該多層結構 之簡化剖面圖; 第4圖為根據另一具體例,一種多層結構之簡化剖面 圖, 第5圖為第4圖所示多層結構於接受全面性蝕刻後之簡 20 化剖面圖; 第6圖為第5圖所示多層結構於冠面接受蝕刻來形成凹 部於底塗層後之簡化剖面圖; 第7圖為第6圖所示多層結構於沈積第二蝕刻差異層後 之簡化剖面圖; 1302718 第8圖為第7圖所示多層結構於接受額外全面性蝕刻後 之簡化剖面圖; 第9圖為第8圖所示多層結構於採用各向異性蝕刻來形 成凹部後之簡化剖面圖; 5 第10圖為根據本發明之一個具體例,設置於基材上的 圖案化層之簡化剖面圖; 第11圖為經由沈積蝕刻差異層於第10圖所示之圖案化 層上所形成的多層結構之簡化剖面圖; 第12圖為於接受全面性蝕刻來形成冠面後,第u圖所 10 示之多層結構之簡化剖面圖; 第13圖為根據本發明之一個具體例,於冠面接受蝕刻 來於基材形成通孔部後,第12圖所示之多層結構之簡化剖 面圖; 第14圖為根據本發明之一個具體例,於接受各向異性 15飿刻來形成與通孔部校準的溝槽部後,第13圖所示之多層 結構之簡化剖面圖; 曰 第I5圖為根據本發明之一個具體例,於沈積導電材料 於通孔部及溝槽部後,第14圖所示之多層結構之簡化剖面 圖; 2〇帛16圖為根據本發明之另—個具體例,以有機丙烯酸 酯材料圖案化之基材之剖面圖; 第17圖為第16圖所示基材而谷區被去除之剖面圖; 第18圖為第17圖所示基材而導電層設置於其上之剖面 圖; 1302718 第19圖為第18圖所示基材於化學機械拋光/平面化後 之剖面圖; 第20圖為第19圖所示基材於有機丙烯酸酯材料去除後 之剖面圖; 5 第21圖為根據另一具體例,第20圖所示基材之剖面圖。 第22圖為第17圖所示基材而有介電質層設置於其上之 剖面圖; 第2 3圖為第2 2圖所示基材於接受全面性蝕刻後之剖面 圖, 10 第24圖為第23圖所示基材於有機丙烯酸酯材料去除後 之剖面圖; 第25圖為根據另一具體例,一種多層結構之簡化剖面 圖; 第26圖為第25圖所示多層結構於接受第4-9圖之處理 15 程序後之簡化剖面圖,使用之蝕刻化學具有低蝕刻選擇 性;以及 第27圖為第25圖所示多層結構於接受第4-9圖之處理 程序後之簡化剖面圖,使用之蝕刻化學具有高蝕刻選擇性。 【實施方式3 20 詳細說明 參照第1圖,根據本發明而圖案化的基材10顯示為具有 表面波動起伏12和表面多角形結構14。欲以波動起伏12及 多角形結構14維度的等級來將基材10圖案化成為具有該維 度結構成問題,原因在於基材10呈現不平坦拓樸結構亦即 1302718 粗化拓樸結構與圖案化程序。結果俗稱為平坦性擾動的表 面波動起伏12和表面多角形結構14造成難以控制形成於基 材10上的圖案的結構維度。本發明的優點之一係採用多層 膜疊層16來圖案化該表面下方的基材,來減少(即使並未消 5 除)平坦性擾動的問題影響。 多層膜疊層16包括底塗層18沈積於基材10之表面20 上、圖案化層22沈積於底塗層18上、及蝕刻差異層24沈積 於圖案化層22上。底塗層18的功用係提供具有平坦性擾動 之表面26,但該平坦性擾動頻次係小於表面2〇的平坦性擾 10 動頻次。 圖案化層22及蝕刻差異層24界定蝕刻速率差異界面 28,該界面28係經由形成圖案化層22之材料與蝕刻差異層 24之材料之相對钱刻速率及厚度所形成的結果。如此有助 於於基材10上形成與圖案化層22的形狀相應的圖案。舉例 15 &之,如圖所示,圖案化層22設有包括具有厚度、之凸部30 和具有厚度h之凹部32的結構,厚度係介於底塗層ι8與凹部 32底部間測量。厚度t2顯示為於圖案化層22該區上方改變成 於底塗層18呈現為彎曲面的平坦性擾動。厚度t2稱作為殘餘 厚度。厚度「tl」或「t2」依據應用用途而定,可為任一種 20 期望的厚度。 各個凸部30有一峰面34,而各個凹部32有一谷面36。 於不存在有蝕刻差異層24之情況下,凸部3〇的。與凹部32 的^間之厚度差異界定暴露出基材10各區前所需要的触刻 時間數量。特別,於蝕刻過程中部分或全部圖案化層22被 10 I3〇27i8 犧牲來提供最終記錄於基材1〇的圖案的期望維度。假設 旱度h均勻,則暴露出基材1〇的重疊凸部⑽區之時間,比較 暴路出基材10的重疊凹部32各區所需時間更長。因此對某 個才曰疋蝕刻程序而言,於基材10的重疊凹部32各區的蝕刻 將比重疊凸部30各區的蝕刻更快開始。如此,有助於於基 材10形成於圖案化層22的形狀相應的圖案。 經由適當選擇壓印材料及蝕刻化學,稱作為經蝕刻的 圖案特徵(EPC)之介於圖案的不同結構間的相對維度,最終 被轉印至基材1G上,亦即所記錄的圖案也可視需要加以控 1〇制。為了達成該項目的,希望對指定蝕刻化學而言,圖案 化層22的蝕刻特性實質上為均勻。但存在有平坦性擾動, 可能導致基材10之重疊凸部3〇之某些區域比基材1〇的重疊 凹部32之各區更早暴露出。結果圖案的結構可能喪失,此 點乃非期望的結果。 15 蝕刻差異層24經由修改EPC來對指定圖案化層的指定 圖案轉印程序提供額外變化。如此,有助於克服與平坦性 擾動相關聯的問題。特別對指定蝕刻方法及圖案化層22而 言,蝕刻差異層24操作來修改EPC,蝕刻差異層24經由改 變姓刻化學貫穿全部圖案化層22或其任何小部分而暴露出 2 0與其重疊的基材10該區所需時間而修改E p c。E P C的修改係 依據數項因素決定。其中一項因素包括形成圖案化層22的 材料以及形成钱刻差異層24的材料間之相對#刻速率。另 一項因素為規度化表面40與圖案化層22與蝕刻差異層24間 之界面間的間隔變化,該界面稱作為於餘刻速率界面eri 11 1302718 該區上方的蝕刻速率界面(ERI)。規度化表面4〇與£111間之 距離變化係依據規度化表面40與圖案化層22二者的相對形 狀的形狀之函數而改變。設置於規度化表面4〇與£111間之蝕 刻差異層24的體積,界定蝕刻差異界面。考慮全部或部分 5蝕刻差異層24以及部分或全部圖案化層22被犧牲來形成期 望的記錄圖案於基材1〇上,可知蝕刻差異界面28對£1>匸提 供修改。經由建立適當的蝕刻差異界面28,即使無法避免 但可緩和平坦性擾動的不利影響。 舉例έ之,如前文說明,平坦性擾動包括表面波動起 1〇伏12和表面多角形結構14 ;但須了解表面20的拓樸結構可 能包括任何其它形狀,包括即使並非平坦但可能相當平滑 區域於表面20的相當大面積上。此外,整個表面2〇可能包 含表面波動起伏12,或整個表面2〇可能包含表面多角形結 構14。此外,表面20可能包含矽或任何其它存在於其上的 15天然氧化物,該天然氧化物係與標準矽晶圓有關,或表面 20可包括磷酸銦晶圓、砷化鎵晶圓、氮化鎵晶圓等。此外 基材10可包括前述有一或多既有層沈積於其上之晶圓中之 任一者、包含金屬材料、介電質材料或其組合之晶圓中之 任一者。 20 底塗層18係採用任一種已知技術而被施用於基材10, 該等已知技術例如為化學氣相沈積技術、原子層沈積技 術、物理氣相沈積技術、旋塗技術、壓印微影術技術等。 於本實例中,底塗層18可由一種材料旋塗至基材1〇上而形 成,該材料係以商品名DUV30J-6得自密蘇里州羅拉市的布 12 13〇2718 〆各爾科學公司(Brewer Science,Inc·)。底塗層i8典型被提供 種厚度來作為抗反射塗層功能,且被提供連續光滑且相 對不含缺陷的表面,該表面對沈積於其上的材料具有絕佳 5黏著性,且對用來檢測例如基材丨〇上的圖案諸如校準記號 (圖中未顯示)之光學感測設備為不透明。 圖案化層22和蝕刻差異層24二者可使用任一種已知技 —°L積’該專技術例如化學氣相沈積技術、原子層沈積技 術、物理氣相沈積技術、旋塗技術、壓印微影術技術等。 1〇本例中,圖案化層22及蝕刻差異層24係採用壓印微影術形 成。形成圖案化層22的範例技術,採用多個壓印材料小滴, 稱作為液滴配送技術。範例液滴配送技術說明於美國專利 申請案第10/789,319號,申請日2004年2月27日,名稱包含 δ;ε夕材料之餘刻光罩之組成物,該案係讓與本發明之受讓 人。典型地,液滴配送壓印係於充滿氦氣的氣氛下實施, 15 例如氦氣以約5磅/平方吋(psi)而流入製程處理區,液滴配送 壓印方式述於美國專利申請案第1〇/677,639號,名稱「單相 流體壓印微影術方法」,申請日2003年10月2日。範例系統 可以商品名殷皮歐(IMPRIO)IOO得自分子壓印公司 (Molecular Imprints,Inc·),營業地址 1807_C Braker Lane, 20 Suite 100, Austin,Texas 78758。殷皮歐 100之系統說明可於 www.molecularimprints.com取得。 圖案化層22包括前文討論之結構。蝕刻差異層24包括 第一側及相對的第二側。第一側係面對圖案化層22,具有 與圖案化層22的側繪圖互補的側繪圖。第二侧係背向圖案 13 1302718 化層22,形成即使並非平坦但實質上光滑面,來界定規度 化表面40。 採用來形成圖案化層22及蝕刻差異層24之材料經選擇 而獲得所需蝕刻差異界面28。一個實例中,圖案化層22為 5 由如下組成物所形成之經聚合且經交聯的不含矽材料。 組成物1
10 丙烯酸異冰片酯 丙烯酸正乙酯 二丙烯酸乙二醇酯 2-經基-2-曱基-1-苯基丙-1-酉同 R1R2 丙烯酸酯成分丙烯酸異冰片酯(IBOA)具有如下結構 式:
占組成物1約55%重量比,但可占20-80%重量比。結果,圖 案化層22的機械性質主要可歸因於IBOA。IBOA之範例樣 本可得自密蘇里州聖路易西格瑪亞歷須公司 (Sigma_Aldrich),產品號碼392103。成分丙烯酸正己酯(nHA) 具有如下結構式:
占組成物1約27%重量比,但可占0至50%重量比。nHA也對 14 20 1302718 圖案化層22提供機械強度’ nHA用來對組成物1於液相時提 供期望的黏度於2_9厘泊(Centipoises)之範圍。nHA的另一項 優點式可改良組成物1的可能性。nHA的範例樣本可以產品 號碼408905得自密蘇里州聖路易的西格瑪亞歷須公司。交 5 聯成分二丙烯酸乙二醇酯(EGDA)具有如下結構式·· 0
占組成物1之約15%重量比,但可占10-50%重量比。eGDA 可輔助組成物1聚合期間nHA與IB0A的交聯,且促成模量 及挺度的累積。引發劑成分2-羥基-2-甲基-1-苯基-丙—丨^同 10 可以商品名達羅庫爾(DAROCUR) 1173得自紐約達利鎮的 汽巴特用化學品公司(Ciba Specialty Chemicals),具有如下 結構式:
0H. 占組成物1約3%重量比,但可占0.1至5%重量比。引發劑可 15 響應於寬廣紫外波輻射頻帶來辅助組成物1的各種成分的 交聯與聚合。 成分RiR2為界面活性劑具有如下通式結構式 ReFCCFAFJy,y係於1至7(含)之範圍,以及R2= CH2CH2〇(CH2CH2〇)xH,X係於0至15(含)之範圍。界面活 20 性劑可為技藝界已知之任一種界面活性劑,可對組成物1提 供於液相之適當濕潤性,以及於固相期望的離型特性。用 15 1302718 於本發明之目的’界面活性劑定義為其一尾端為疏水性之 任何分子。界面活性劑可含氟例如包括氟鏈,或界面活性 劑可包括氟於界面活性劑的分子結構。範例界面活性劑具 有如下通式結構式:
可以商品名佐尼爾(ZONYL)FSO-IOO得杜邦公^ (DUPONT),占組成物之0.25%至2%,其餘各成分貝^以成比 例的數量減少。 10 15 20 本實例中,蝕刻差異層24係採用前文就圖案化層^戶斤 討論之液滴配送技術來形成。結果多個壓印材料液滴(圖中 未顯示)沈積於圖案化層22頂上,平坦化模具(圖中未顯示) 置於與壓印材料之液滴接觸。可聚合形成蝕刻差異層24的 壓印材料以及交聯材料係由下列組成物之一形成: 、 組成物2 丙烯醯氧基甲基五甲基二矽氧烷 丙烯酸異冰片酯 二丙烯酸乙二醇酯 2-經基_2-甲基-1-苯基-丙-1-酮 R1R2
16 1302718 組成物2的其餘成分係與組成物丨相同,但含量不同。舉例 言之’組成物2之IBOA典型占組成物2之約37%,EGDA及 2-經基-2-甲基-1-苯基-丙_丨_酮係分別占約18〇/〇及3〇/〇。界面 活性劑RiR2約占組成物之〇.5〇/0。 組成物3 丙烯醯氧基甲基貳(三曱基矽烷氧基)甲基矽烷 丙烯酸異冰片酯
10 二丙烯酸乙二醇酯 2-羥基-2-甲基-1·苯基-丙小酮 R1R2 組成物3與組成物2的差異只在於以丙烯醯氧基甲基武 (二甲基石夕烧氧基)甲基石夕烧取代丙烯醯氧基甲基五甲基二 矽氧烷。丙烯醯氧基甲基貳(三甲基矽烷氧基)甲基矽烷成分 具有如下結構式:
0_Si(0Me)3 0—Si(〇M 句 3 組成物3之各種成分之相對含量係於就組成物2討論之含量 相同。 參照第1圖及第2圖,於形成餘刻差異層24後,採用全 面性蝕刻,例如使用約70% CF4及30% 〇2的鹵素氣體混合物 20進行RIE程序之全面性蝕刻,來於其體積去除實質均勻的餘 刻差異層24部分,而提供冠面44。其它含有chf3、SF6&其 它氟化化學的氣體混合物為熟諳技藝人士已知。範例蚀刻 化學由Johnson等人討論於「梯級與快速壓印微影術的進 17 1302718 5
10 15
展」鳩年2月η日j月μ日於加州聖塔卡拉舉辦的spiE 微影術會議所分送的報告。冠面44係經由暴露出凸部珊 面34來界定。本實例中,相鄰的蜂面34係由冠面44形成後 留下的蝕刻差異層24各區所分開。 參照第2圖及第3圖,冠面接受各向異性_。各向異 性敍,之㈣化學係選用來利職面4 4所提供的姓刻差 異,对面44所提供的侧差異係經由最大化凸部30及盘凸 部3〇重疊的圖案化層22片段輸彳,同時最小化與凹部32 重疊的各區46的钱刻。本例中,係利用圖案化層22與侧 差異層_崎嶋。撕,罐於氧的化 學之R雌刻’確定可於接近表面的各區46形成原位硬化的 遮罩48。此點係由含石夕之可聚合材料與氧電漿交互作用的 結果。經由硬化的遮罩48及钮刻程序的各向異性結果,部 分圖案化層於其體積實質均勻被去除,來暴露出與凸㈣ 重疊的基材10各區50。藉此方式,被記錄的圖案形成由柱 部52及凹部54所形成的被紀錄的圖案。 於各區50暴露出之後,適當钱刻程序例如前文 侧型別可採用來將與記錄的圖案相應的圖案轉印至基材 10上。另外,或此外,記錄的圖案可以諸如紹、銅、鶴、 20欽、鈦-鶊或其組合等導電材料(圖中未顯示)覆蓋來填補凹 部’促進接點的形成,容後詳述。 本發明之優點為,儘管基材10上存在有平坦性擾動, 但仍可縣構喊餘制。此狀達成以餘由確保規 度化表面40被提供-種崎,確保各個凸咖的峰面34與 18 1302718 規度化表面40間之距離匕、匕、匕、匕、^及^〗係於預定範 圍内來達成。特別規度化表面4〇被提供一種側繪,確保距 離hhh、ks、匕^及匕2中之任二者間的最大差異係小於 最小凸部30的南度的1/2。距離&、k3、k5、k7、k9與ku間的 5變異控制並無特殊限制,只要距離 中之任一者的測量值係不小於距離k2、匕、匕、ks、kiQ及 中最大距離的測量值即可。只要滿足此項標準,記錄圖案 形成期間所出現的失真實質上與平坦性擾動無關,圖案化 程序的解析度與圖案化層22之全體面積上的厚度t2所得的 !〇 變異脫鉤。 除了厚度k的變異之外,也已知圖案化程序的解析度實 質上係與厚度k脫鉤。特別,若不存在有蝕刻差異層24,記 錄的圖案的縱橫比部分係由“與、間的差定義。於無平垣性 擾動存在下,約為tl的大小,或h大於ti,將導致記錄圖案 15未產生任何結構。結果,高度期望減小厚度。此外,由於 厚度k係隨著圖案化層22的全部面積而改變,故存在有平括 性擾動時,對厚度k的控制成問題。如此也導致圖案結構的 喪失。特別假設k的最大值t2max及^的最小值t2min。 u ln 2max ”t2min間的差異約為tl的大小或大於tl,則記錄圖案的結構 2〇將喪失。此外,由於填補樣版(圖中未顯示)的各個結構^需 時間,圖案化程序的速度經常減慢。此外,壓印所需時2 隨著樣版上結構維度的縮小而增加,特別低黏度壓印材料 例如具有黏度小於25厘泊的壓印材料尤為如此,其中圖案 化程序係由壓印材料與樣版(圖中未顯示)的毛細力來2 19 1302718 控。雖然可施加壓縮力來縮短填補樣版(圖中未顯示)之結構 所需時間,但有極限,例如基㈣的潛在機械強度,僅舉 出其中一個範例。當圖案化材料具有高黏度例如25至 10,000厘泊範圍的高黏度時,基材1〇的機械強度變成極 5限。於黏度的較高範圍,圖案化程序變成由壓印材料的黏 祠力所主控,黏稠力係與毛細力相反。將壓印材料圖案化 所需的壓縮力滅隨著厚紅縮小的立方而加大。 使用本發明,厚度t2的變化以及總厚度可經修改,而不 會對於記錄圖案中欲形成的結構解析度造成不當的降級。 10例如,丨加狀與12—間的差異可能等於ti或大於ti。此外,厚度 k可能等於厚度h,或大於。的2倍至10倍。實質上增加厚度 h的優點為達成壓印程序所需的壓縮力實質上與厚度。無 關,如此有助於壓印程序由黏稠力所掌控。此外,增加厚 度可有助於逆轉於基材所形成的圖案調性,讓該調性可配 15合圖案化層22的圖案調性。 參照第1圖及第4圖,顯示基材no包括底塗層118、圖 案化層122及没置於其上的钱刻差異層124,各層分別係與 底塗層18、圖案化層22及蝕刻差異層24相應。圖案化層122 包括多個凸部130及凹部132,其分別係類似凸部3〇及凹部 20 32。凸部130係從谷面133延伸一段距離&而止於峰面134。 由蝕刻差異層124所界定的規度化表面14〇係以前文就規度 化表面40與凸部30所討論的方式而與凸部130分開。 參照第1、4及5圖,钱刻差異層124係以前文就隨形表 面44所討論的相同方式,接受全面性餘刻來形成隨形表面 20 1302718 相對於圖案139沿X方向相位遷移,了解圖案239沿Y方向的 相位可能遷移,Υ方向係進入第μ3圖的頁面方向。特別, 圖案239於一定方向的相位遷移,故凸部230係重疊基材11() 之與凸部130重疊區的相同區。因此圖案139被稱作為沿χ 5方向及γ方向相對於圖案239不同相位遷移180度。隨後可去 除飿刻差異層224的其餘部分。經由適當選擇蝕刻程序的特 徵,圖案的結構可大於、小於或等於圖案化層122的結構維 度。 調性翻轉的另一項優點為當採用壓印技術來製造圖案 1〇化層22之時。發現若凹部太小,則填補樣版(圖中未顯示) 的諸如凹部荨結構有困難。使用調性翻轉程序,凹部可於 樣版(圖中未顯示)被製造成凸部,凸部隨後在於記錄圖案被 形成為凹部。換言之,樣版的圖案調性可與所期望的記錄 圖案的紀錄調性無關來選用。另外,樣版的圖案調性可經 15選擇來滿足壓印程序的其它操作特性,例如經由縮短結構 填補時間來滿足產出量特性。 須了解前述程序的效果非僅限於如前文討論可形成具 有單一凹陷階結構的圖案,反而也可採用來形成具有雙階 凹陷結構,亦即梯級結構的圖案。舉例言之,參照第10圖 20及第11圖,圖案化層322顯示為有多個結構形成於基材310 上,該結構包括具有厚度h的凸部33()、具有厚度^的肩部 331、及具有厚度ts的亞部332。凸部330及肩部331於基材上 310表面上界定雙階凸部。厚度t5稱作為殘餘厚度。依據應 用用途而定,厚度U及ts可為任一種期望厚度。 22 1302718 圖案化層322的反相形狀被轉印至基材31〇上,來形成 通孔部(圖中未顯示)及溝槽部(圖中未顯示)於其中,容後詳 述。藉此方式,通孔部(圖中未顯示)之維度係依據凸部維度 之函數變化建立,而溝槽部(圖中未顯示)的維度係根據肩部 5的函數變化而建立。為了達成該項目的,基材310可包括若 干既存層ό又置於晶圓312上,顯示為由钱刻播止層316、317 及318所分開的各層314、315。蝕刻差異層324設置成與圖 案化層322相鄰。基材310、圖案化層322及蝕刻差異層324 界定多層結構338。 〇 參照第11圖及第12圖,採用全面性餘刻來去除部分餘 刻差異層324,來提供具有冠面344的多層結構338。冠面344 係定義為全面性蝕刻後暴露出的各個凸部33〇的峰面334、 和剩餘的蝕刻差異層324各區346。 參照第12圖和第13圖,冠面344接受各向異性钱刻。各 15向異性蝕刻之蝕刻化學經選擇來最大化凸部330及圖案化 層322與凸部330重疊的各片段的蝕刻,同時最小化各區346 的蝕刻。本例中,如前文就第2圖及第3圖有關區46及圖案 化層22的討論,係利用圖案化層322及區346間的矽含量差 異來暴露出基材310的各區350。 20 參照第13圖及第14圖,於暴露出各區350之後,採用包 括前文討論的程序之適當蝕刻程序來去除區345以及多層 結構338之與區346重疊區,因而暴露出區354及356。區354 及356分別係界定溝槽部358及通孔部360。隨後的蝕刻程序 或剝離程序採用來去除圖案化層322及蝕刻差異層324的其 23 1302718 餘部分。隨後通孔部360及溝槽部358可使用諸如叙、銅、 鶴、欽、欽-嫣或其組合專導電材料同時填補,來形成接點 362及導線364,如第15圖所示。 參照第2、13及14圖,但處理程序的優點各異。舉例言 之,可於基材310形成自我校準的通孔和溝槽,同時精密控 制其維度。如此可減少由於平坦性擾動所造成的轉印失真。 10 15 20 雖然前文已經就使用液滴配送技術來形成蝕刻差異層 24做討論,蝕刻差異層24也可採用旋塗上技術形成,旋塗 上技術例如討論於美國專利申請案第1〇/789,319號,申請曰 2004年2月27日,名稱「包含含矽材料之蝕刻遮罩之組成 物」。為了達成該項目的,可採用下列組成物: 組成物4 羥基-官能基聚矽氧燒 六甲氧基甲基蜜胺 甲苯績酸 甲基戊基甲酉同 組成^ 羥基-官能基聚矽氧燒 六甲氧基甲基蜜胺 γ-縮水甘油氧基丙基三甲氧基矽烷 甲苯磺酸 甲基戊基甲綱 組成物4中,經基-官能基聚石夕氧貌係占組成物之約料,六 甲氧基甲基蜜胺係占約0.95%,甲苯續酸占約⑽5%及甲基 24 1302718 戊基甲酮占約95%。組成物5中,羥基-官能基聚矽氧烷係占 組成物之約4%,六甲氧基甲基蜜胺係占約〇·7〇/0,γ·縮水甘 油氧基丙基三曱氧基矽烷占約0.25%,曱苯磺酸占約〇.〇5% 及甲基戊基甲酮占約95%。 5 10 15 20 組成物4及組成物5係由至少4%聚矽氧樹脂組成。但於 固化時,於蝕刻差異層24、124、224及324的矽含量至少為 忉%重量比且典型為20%或以上之範圍。特別,存在於組成 物4及5之溶劑數量及組成係經選擇,讓相當大部分的溶劑 於組成物4或5於圖案化層22、122及322之旋塗上施用期間 蒸散。於本實例含矽材料中,約9〇%溶劑於旋塗過程中蒸 政。當含矽材料暴露於熱能時,其餘10%溶劑蒸散,留下 蝕刻差異層24、124、224及324,含有約20〇/〇石夕重量比。 形成蝕刻差異層24、124、224及324之方法實例包括將 約4毫升”材料旋塗上沈積於先前沈積層的中心附近。為 了達成該項目的,基材1G、11()或3雌由放置於熱板上: 以每分鐘1000轉的轉速旋轉1分鐘時間。隨後,含石夕材料於 150°C接钱能烤乾丨分鐘時間。如此製造含卿料,由今 材„崎異層24、124、224及324,厚度變化為;: 奈 下。若希望縮小固化切層厚度,例如提供且右 厚200奈米的固化切層,則可單純重複前述可旋塗程叙 =二果:採用的溶劑係選擇可於良好固化的㈣ 差…曰去除亦g卩熄掉」含卿料的溶劑。 第M4圖,判定由组成物1與2的混合所組成的改 性 用來形成®案化層22、122及322,且組合分別由 25 1302718 組成物4及5所製成的蝕刻差異層24、124、224及324使用 夺了對$己錄圖案之凹部的各向異性提供優異控制。改性 組成物可對圖案化層22、122及322提供約2-6%重量比石夕。 為了達成該項目的,範例改性組成物包含20-70%組成物2, 5 差額係由組成物1組成。如此提供圖案化層22、122及322之 一與蝕刻差異層24、124、224及324之一間的矽含量差異。 特別經由以低濃度矽來矽烷化圖案化層22、122及322,凹 部例如凹部32、132及137及232的彎曲減少(即使無法避 免)。經由將基材10、110及310冷卻至約〇°C,以及經由採 10用還原化學來替代前述钱刻期間的氧化化學,可達成對前 述凹部形成的進一步控制。還原化學例如包括h2/N2、 CO/N2、CH4/N2 等。 此外若環境許可,前述程序可變更來利用進行圖案化 該層的優點。例如可由組成物2、4或5形成圖案化層22、122 15及322,而由組成物1製成蝕刻差異層24、124、224及324。 使用此種組態,可有利地由組成物2_5之一來形成底塗層 18,換言之,底塗層18設置於其上之表面係由非含矽有機 材料製成。此外,可由組成物1形成底塗層18。採用的餘刻 程序係可以類似前述方式來提供期望的蝕刻選擇性。 20 參照弟16圖,有機圖案化層用作為犧牲圖案化層的其 它程序包括形成金屬線。為了達成該項目的,採用任一種 沈積方法沈積圖案化層422於基材410上。本實例中,採用 經由多個彼此隔開的凸部430及凹部432所產生的壓印微影 術來從組成物1形成圖案化層422。凹部432的谷部436具有 26 1302718 殘餘厚度t6。 參照第16圖及第π圖,圖案化層422接受>1虫刻程序亦即 前文討論的RIE氧蝕刻來去除谷部436。如此處理結果,圖 案433形成於基材41〇上。谷部436去除期間所出現的不利影 5響為各個凸部430的峰面434獲得小刻面形狀。 參照第17圖及第18圖,導電材料沈積來覆蓋圖案433, 包括峰面434形成為具有隨形側的導電層424,其係隨形於 圖案433的形狀,以及包括規度化表面44〇設置於隨形侧的 對側。規度化表面440係與凸部430隔開。範例導電材料為 10銅,但可包括前述任一種導電材料。 參照第18圖及第19圖,於蝕刻差異層424沈積後,規度 化表面440接受適當處理來形成多層結構438。適當處理包 括若導電層424係由金屬形成,則採用化學機械拋光/平坦 化(CMP)程序,如蝕刻差異層424係由導電聚合物形成,則 15進行全面性蝕刻。本實例中,CMP程序係用來達成實質上 平滑即使並非平坦的冠面444的形成。為了達成該項目的, 基於形成圖案化層422及蝕刻差異層424的材料來選擇CMp 程序’讓於規度化表面暴露出的峰面434具有實質上平土曰的 側繪。特別,峰面的小刻面形狀被去除,同時提供具有實 20 質上平順(即使並非平坦)側繪的冠面444。 參照第19圖及第20圖,於冠面444形成後,多層纟吉構々π 接受如前文討論的RIE處理及基於氧的化學處理。Rig處理 可去除凸部430,留下多個彼此隔開的金屬線45〇。須了解 該程序也可用來形成具有梯級形狀的金屬線55〇,例如如第 27 1302718 21圖所示,一對彼此隔開的肩部552設置於峰面53〇與基材 510 間。 一土 參照第17®及第22®,凸部430可財導電材料例如介 電質材料覆蓋來形成介電質層624。介電質材料之實例包括 5可用於此項目的的介電質材料為矽倍半氧烷類及曱基_矽 "ία半乳烧類、採用習知沈積技術的旋塗上玻璃。餘刻差異 層424覆蓋圖案433包括覆蓋峰面434。結果,介電質層包括 隨形於圖案433的形狀之隨形側、以及設置成與隨形側相對 的規度化側640。規度化侧64〇係與凸部63〇隔開。 10 參照第22圖及第23圖,於蝕刻差異層624沈積後,規度 化侧640接受全面性蝕刻例如CFV〇2電漿或CHFy〇2電漿蝕 刻,來暴露出凸部430之峰面434,形成具有冠面644的多層 結構638。即使並非平坦,冠面644被形成為實質上光滑。 參照第23圖及第24圖,於冠面644形成後,多層結構638 15接文蝕刻處理,如前文討論,蝕刻處理程序包括使用氧電 漿化學的RIE。蝕刻處理去除凸部43〇,留下多個彼此隔開 的介電質柱部650。須了解相同程序也可用來形成具有梯級 形狀的介電質柱部,如前文討論。 參照第25圖,多層結構7〇〇被顯示為具有基材702、底 20塗層704、圖案化層7〇6及蝕刻差異層708。圖案化層706包 括凸部710,凸部710的形狀為銳角。多層結構700可接受前 文就第4-9圖所述的處理程序,如此形成多層結構712及 714 ’分別顯示於第26圖及第27圖。第一具體例中,蝕刻化 學為介於圖案化層706與蝕刻差異層708間達成低蝕刻選擇 28 1302718 性。第二具體例中,_化學為介於圖案化層观與姓刻差 異層708間達成高姓刻選擇性。可進行進一步程序,導致不 同圖案被餘刻於基材702,可用來產生光學元件。特別經由 調整餘刻差異層708、圖案化層706及底塗層7〇4的相對姓刻 5速率及減厚度,EPC經糾,故記錄圖案實質上與圖案 化層706的圖案不同。須了解底塗層綱的餘刻特性係類似 圖案化層706的侧特性。進—步,依據應用用途而定,底 塗層704為選擇性而可被刪除。 前文說明之本發明之具體例為舉例說明,可對前文揭 K)示做出多項變化及修改而仍然屬於本發明之範圍。因此本 發明之範圍並非參照前文說明決定,反而係參照隨附之申 請專利範圍連同其完整相當範圍而界定。 【圖式簡單說明1 第1圖為根據本發明之-個具體例,一種多層結構之簡 15 化剖面圖; 第2圖為根據本發明之_個具體例,於第]圖所示多層 結構接受全面性蚀刻來形成冠面後之簡化剖面圖; 第3圖為根據本發明之—個具體例,第2圖所示多層結 構於冠面接受姓刻來形成凹部於部分基材後之該多層結構 2〇 之簡化剖面圖; 第4圖為根據另一具體例,一種多層結構之簡化剖面 圖; 第5圖為第4圖所示多層結構於接受全面性蝕刻後之簡 化剖面圖; 29 1302718 第6圖為第5圖所示多層結構於冠面接受蝕刻來形成凹 部於底塗層後之簡化剖面圖; 第7圖為第6圖所示多層結構於沈積第二蝕刻差異層後 之簡化剖面圖; 5 第8圖為第7圖所示多層結構於接受額外全面性蝕刻後 之簡化剖面圖; 第9圖為第8圖所示多層結構於採用各向異性蝕刻來形 成凹部後之簡化剖面圖; • 第10圖為根據本發明之一個具體例,設置於基材上的 10 圖案化層之簡化剖面圖; 第11圖為經由沈積蝕刻差異層於第10圖所示之圖案化 層上所形成的多層結構之簡化剖面圖; 第12圖為於接受全面性蝕刻來形成冠面後,第11圖所 示之多層結構之簡化剖面圖; 15 第13圖為根據本發明之一個具體例,於冠面接受蝕刻 來於基材形成通孔部後,第12圖所示之多層結構之簡化剖 面圖 第14圖為根據本發明之一個具體例,於接受各向異性 蝕刻來形成與通孔部校準的溝槽部後,第13圖所示之多層 20 結構之簡化剖面圖; 第15圖為根據本發明之一個具體例,於沈積導電材料 於通孔部及溝槽部後,第14圖所示之多層結構之簡化剖面 圖, 第16圖為根據本發明之另一個具體例,以有機丙烯酸 30 1302718 酯材料圖案化之基材之剖面圖; 第17圖為第16圖所示基材而谷區被去除之剖面圖; 第18圖為第17圖所示基材而導電層設置於其上之剖面 圖, 5 第19圖為第18圖所示基材於化學機械拋光/平面化後 之剖面圖; 第20圖為第19圖所示基材於有機丙烯酸酯材料去除後 之剖面圖; • 第21圖為根據另一具體例,第20圖所示基材之剖面圖。 10 第22圖為第17圖所示基材而有介電質層設置於其上之 剖面圖; 第23圖為第22圖所示基材於接受全面性蝕刻後之剖面 圖, 第24圖為第23圖所示基材於有機丙烯酸酯材料去除後 15 之剖面圖; 第25圖為根據另一具體例,一種多層結構之簡化剖面 • 圖; 第26圖為第25圖所示多層結構於接受第4-9圖之處理 程序後之簡化剖面圖,使用之蝕刻化學具有低蝕刻選擇 20 性;以及 第27圖為第25圖所示多層結構於接受第4_9圖之處理 程序後之簡化剖面圖,使用之蝕刻化學具有高蝕刻選擇性。 31 1302718
【主要元件符號說明】 10·· •基材 122·· •圖案化層 12·· •表面波動起伏 124·· •#刻差異層 14·· •表面多角形結構 130·· •凸部 16·· •多層膜疊層 132·· •凹部 18·· •底塗層 133·· •谷面 20·· •表面 134·· •峰面 22- •圖案化層 135·· •凸部 24·· 虫刻差異層 137·· •凹部 26·· •表面 139·· •圖案 28- 虫刻速率差異界面 140·· •規度化表面 30·. •凸部 144·. •隨形表面、冠面 32- •凹部 146·· •區 34·· •峰面 148" •硬遮罩 36" •谷面 150·· .區 40·· •規度化表面 224·· •冠面 44·· •冠面、隨形表面 230·· •凸部 46·· .區 232·· •凹部 48·· •硬化遮罩 239" •圖案 50·· •區 310·· •基材 52·· •柱部 312·· •晶圓 54·· •凹部 314" •層 HO- ••基材 315" •層 118- ••底塗層 316、 317、318…蝕刻擋止層 32 1302718 322···圖案化層 436…谷部 324…姓刻差異層 438…多層結構 330…凸部 440…規度化表面 331…肩部 444···冠面 332…亞部 450…金屬線 334…暴露峰面 510…基材 338···多層結構 530…峰面 344···冠面 550…金屬線 346…區 552…肩部 350…區 624…介電質層 354、356···區 630…凸部 358…溝槽部 640…規度化表面 360…通孔部 638…多層結構 362…接點 644…冠面 364…導線 650…介電質柱部 410…基材 700…多層結構 422···圖案化層 702…基材 424···導電層 704…底塗層 430…凸部 706…圖案化層 432···凹部 708…蝕刻差異層 433…圖案 710···凸部 434…峰面 712、714…多層結構 33

Claims (1)

1302718 十、申請專利範圍: 1. 一種圖案化基材之方法,該方法包含: 於該基材上,形成多層膜,其界定接面,該接面具 有多個各自有相關之第一#刻速率的第一部,以及有相 關之第二蝕刻速率的第二部,相鄰的第一部係由該第二 部所隔開;以及 將由該接面所部分界定的圖案轉印至該基材,第一 蝕刻速率與第二蝕刻速率間之差異經選擇來減少於該 圖案所形成的凹陷結構的彎曲。’ 2. 如申請專利範圍第1項之方法,進一步包括冷卻該基材 至低於周圍溫度的預定溫度。 3. 如申請專利範圍第1項之方法,其中轉印進一步包括採 用還原化學來去除該接面。 4. 如申請專利範圍第1項之方法,其中轉印進一步包括於 其體積均勻去除該第一部及該第二部。 5. 如申請專利範圍第1項之方法,其中形成進一步包括形 成帶有第一數量矽之多個第一部,以及形成帶有與第一 部不同的第二數量矽的第二部;以及對指定的蝕刻化學 定義該等多數第一部中之一者與該第二部間之差異。 6. 如申請專利範圍第1項之方法,其中形成進一步包括形 成具有矽含量於2-6%重量比之多個第一部,以及形成具 有至少10%重量比之第二矽含量之該第二部。 7. 如申請專利範圍第1項之方法,其中形成進一步包括於 該基材上沈積包含丙烯酸異冰片酯、丙烯酸正己酯、二 34 1302718 丙烯酸乙二醇酯、2-羥基-2-甲基-1-苯基-丙-1-酮、丙烯 醯氧基甲基五甲基二矽氧烷及界面活性劑的第一層;以 及於該第一層上沈積包含羥基官能基聚矽氧烷、六甲氧 基甲基蜜胺、甲苯磺酸及甲基戊基甲酮之第二層。 8. 如申請專利範圍第7項之方法,其中沈積於第一層上進 一步包括以γ-縮水甘油氧基丙基三甲氧基矽烷提供該第 二層。 9. 如申請專利範圍第1項之方法,其中形成進一步包括使 用選自於基本上由化學氣相沈積、電漿加強的化學氣相 沈積、旋塗、原子層沈積及液滴配送壓印所組成的沈積 方法集合的沈積方法來由沈積於該基材上的第一層形 成該多層膜。 10. 如申請專利範圍第9項之方法,其中形成進一步包括使 用選自於基本上由化學氣相沈積、電漿加強的化學氣相 沈積、旋塗、原子層沈積及液滴配送壓印所組成的沈積 方法集合的沈積方法來由沈積於該基材上的第二層形 成該多層膜。 11. 如申請專利範圍第1項之方法,其中形成進一步包括採 用選自包括旋塗壓印及電子束圖案化之方法集合中之 方法來圖案化該第一層。 12. 如申請專利範圍第1項之方法,其中該基材包括一結構 化區,而該多層膜係設置於該結構化區上。 13. 如申請專利範圍第1項之方法,其中形成進一步包括形 成該多層膜,有冠面及第一薄膜沈積於該具有多個凸部 35 1302718 的基材上,各個凸部有一相關峰面,該冠面係與該峰面 隔開,該等多個凸部中之任一者的峰面與該冠面間之距 離的變化係於預定範圍以内。 14.如申請專利範圍第1項之方法,其中形成進一步包括形 成該多層膜,有冠面及第一薄膜沈積於該具有多個凸部 的基材上,該等凸部係從谷面延伸而終於峰面而於其間 界定高度,該冠面係與該峰面隔開,該等多個凸部中之 任一者的峰面與該冠面間之距離的變化係小於1/2高
TW094132081A 2004-09-21 2005-09-16 Patterning surfaces while providing greater control of recess anisotropy TWI302718B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/946,159 US7041604B2 (en) 2004-09-21 2004-09-21 Method of patterning surfaces while providing greater control of recess anisotropy

Publications (2)

Publication Number Publication Date
TW200620468A TW200620468A (en) 2006-06-16
TWI302718B true TWI302718B (en) 2008-11-01

Family

ID=36074628

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094132081A TWI302718B (en) 2004-09-21 2005-09-16 Patterning surfaces while providing greater control of recess anisotropy

Country Status (2)

Country Link
US (1) US7041604B2 (zh)
TW (1) TWI302718B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7470544B2 (en) * 2005-05-26 2008-12-30 Hewlett-Packard Development Company, L.P. Sensor array using sail
US20060275692A1 (en) * 2005-06-02 2006-12-07 Tdk Corporation Method for forming concavo-convex pattern, method for manufacturing master disk, method for manufacturing stamper, and method for manufacturing magnetic recording medium
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
KR20070105040A (ko) * 2006-04-25 2007-10-30 엘지.필립스 엘시디 주식회사 레지스트 조성물, 이를 이용한 레지스트 패턴 형성방법 및이를 이용하여 제조된 어레이 기판
US9427908B2 (en) * 2006-10-25 2016-08-30 Agency For Science, Technology And Research Modification of surface wetting properties of a substrate
WO2008157640A2 (en) 2007-06-18 2008-12-24 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
CN102089708A (zh) * 2008-06-09 2011-06-08 得克萨斯州大学系统董事会 适应性纳米形貌雕刻
KR101557816B1 (ko) * 2008-09-01 2015-10-07 삼성디스플레이 주식회사 레지스트 조성물 및 이를 이용한 패턴 형성 방법
FR2942739B1 (fr) * 2009-03-03 2011-05-13 Commissariat Energie Atomique Procede de fabrication d'un moule pour la lithographie par nano-impression
FR2942738B1 (fr) * 2009-03-03 2016-04-15 Commissariat A L'energie Atomique Procede de fabrication d'un moule pour la lithographie par nano-impression
KR20120001768A (ko) * 2009-03-23 2012-01-04 인테벡, 인코포레이티드 패턴드 미디어에서의 아일랜드 대 트랜치 비의 최적화를 위한 공정
EP2444826B1 (en) * 2009-06-18 2019-05-22 Toppan Printing Co., Ltd. Optical device and method of manufacturing the same
US8802747B2 (en) * 2009-08-26 2014-08-12 Molecular Imprints, Inc. Nanoimprint lithography processes for forming nanoparticles
US8961800B2 (en) * 2009-08-26 2015-02-24 Board Of Regents, The University Of Texas System Functional nanoparticles
JP2014505018A (ja) 2010-11-05 2014-02-27 モレキュラー・インプリンツ・インコーポレーテッド 二重剥離層を用いる機能性ナノ粒子のナノインプリントリソグラフィ形成
CN103456638B (zh) * 2012-06-05 2016-02-03 中芯国际集成电路制造(上海)有限公司 自对准GaAs FinFET结构及其制造方法
US9082625B2 (en) * 2013-12-11 2015-07-14 International Business Machines Corporation Patterning through imprinting
CN107075661B (zh) * 2014-09-26 2020-03-17 韩国机械研究院 形成有多个纳米间隙的基底及其制备方法
US9793169B1 (en) * 2016-06-07 2017-10-17 Globalfoundries Inc. Methods for forming mask layers using a flowable carbon-containing silicon dioxide material

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0091651B1 (en) * 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) * 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
FR2604553A1 (fr) * 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4936951A (en) * 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH0224848A (ja) 1988-07-14 1990-01-26 Canon Inc 光記録媒体用基板の製造方法
JPH0292603A (ja) 1988-09-30 1990-04-03 Hoya Corp 案内溝付き情報記録用基板の製造方法
CA2010169A1 (en) * 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
DE59010728D1 (de) * 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
DE4029912A1 (de) * 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
KR970009858B1 (ko) * 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
US5849209A (en) * 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5849222A (en) * 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) * 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
JP3780700B2 (ja) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
WO2000021689A1 (en) 1998-10-09 2000-04-20 The Trustees Of Princeton University Microscale patterning and articles formed thereby
US6420251B1 (en) * 1999-01-05 2002-07-16 Trw Inc. Method for fabricating a microelectronic integrated circuit with improved step coverage
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4286374B2 (ja) * 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) * 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
WO2001047003A2 (en) 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) * 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
JP3848070B2 (ja) * 2000-09-27 2006-11-22 株式会社東芝 パターン形成方法
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
WO2003079416A1 (en) 2002-03-15 2003-09-25 Princeton University Laser assisted direct imprint lithography
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
WO2003099536A1 (en) 2002-05-24 2003-12-04 Chou Stephen Y Methods and apparatus of field-induced pressure imprint lithography
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
FR2847018B1 (fr) * 2002-11-07 2005-02-04 Hutchinson Joint dynamique pour arbre rotatif muni d'un dispositif de codage angulaire, dispositif comportant un tel joint et procede de fabrication d'un tel joint
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
WO2004114016A2 (en) 2003-06-09 2004-12-29 Princeton University Office Of Technology Licensing And Intellectual Property Imprint lithography with improved monitoring and control and apparatus therefor

Also Published As

Publication number Publication date
TW200620468A (en) 2006-06-16
US20060063387A1 (en) 2006-03-23
US7041604B2 (en) 2006-05-09

Similar Documents

Publication Publication Date Title
TWI302718B (en) Patterning surfaces while providing greater control of recess anisotropy
TWI279834B (en) Reverse tone patterning on surfaces having surface planarity perturbations
TWI292590B (en) Pattern reversal employing thick residual layers
TWI279850B (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
TWI289326B (en) Method of forming a recessed structure employing a reverse tone process
US7279113B2 (en) Method of forming a compliant template for UV imprinting
US8921030B2 (en) Tone inversion of self-assembled self-aligned structures
US7371684B2 (en) Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8012395B2 (en) Template having alignment marks formed of contrast material
US10859913B2 (en) Superstrate and a method of using the same
JP5848386B2 (ja) インサイチュ嵌込み構造物形成方法
JP2005532576A (ja) 多層リソグラフィープロセスに関する新規な平坦化方法
US7846345B2 (en) Method of manufacturing an imprinting template using a semiconductor manufacturing process and the imprinting template obtained
TWI272649B (en) Method of forming an in-situ recessed structure
WO2010047789A2 (en) Double sidewall angle nano-imprint template
Irmscher et al. High resolution nanoimprint templates for dual damascene: fabrication and imprint results

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees