TWI281722B - Method and apparatus for embedded process control framework in tool systems - Google Patents

Method and apparatus for embedded process control framework in tool systems Download PDF

Info

Publication number
TWI281722B
TWI281722B TW090124634A TW90124634A TWI281722B TW I281722 B TWI281722 B TW I281722B TW 090124634 A TW090124634 A TW 090124634A TW 90124634 A TW90124634 A TW 90124634A TW I281722 B TWI281722 B TW I281722B
Authority
TW
Taiwan
Prior art keywords
rti
manufacturing
machine
measurement data
process control
Prior art date
Application number
TW090124634A
Other languages
English (en)
Inventor
Anthony John Toprac
Elfido Coss Jr
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Application granted granted Critical
Publication of TWI281722B publication Critical patent/TWI281722B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Multi-Process Working Machines And Systems (AREA)

Description

1281722 經濟部智慧財產局員工消費合作社印製
A7 五、發明說明(1 ) [發明之背景] 1.發明之頜减 本發明係關於-般的半導體製造,以及更特別地,是 關於利用裝入製程控制於工具機系統之方法和裝置。 1·相關技術之撫球 裝ie工業的科技爆炸導致許多新的和創新的製程。今 日的製程’特別是半導體的製程,需要很多重要的步驟。 這些製程的步驟通常是必須的,因此,需要許多維持適當 製程控制的精密輸入。 製造半導體的裝置需要許多不同的製程步驟從半導體 原材料製造封裝半導體裝置。此各種的製程,從初步產生 半導體材料 '將半導體晶體切割成獨立的晶圓、製造階段 (钱刻、摻雜、離子植入、或其類似階段)、至完成裝置之 封裝和最後的測試,每一階段都不相同以及其特色為製程 可於具有不同控制體系之不同製造地點進行。 衫響半導體裝置製造的因素為由於製造工具機初始階 段的影響、製造室的記憶體影響、以及首次晶圓的影響之 製造問題所導致晶圓和晶圓之間的變異。製程步驟中此類 不良影響因素之一為光學蝕刻覆蓋(ph〇t〇mh〇graphy overlay)製程。覆蓋為半導體製造之光學蝕刻區中的許多重 要步驟之一。覆蓋控制涉及在半導體裝置表面兩個連續模 式層之間定位偏移(misalignnient)的測量。通常,減少定位 偏移的誤差對確保半導體裝置之多層是否連接和有正常功 能是非常重要的。由於科技設備使半導體裝置的臨界尺寸 裝 訂--------- (請先閱讀背面之注意事項再填寫本頁) 1281722 B7 五、發明說明(2 ) 日益因此使減少定位偏移的誤差更形重要。 通= > 目别光學蝕刻工程每個月分析覆蓋誤差數次。 —覆^誤差刀析之結果以人工方式更新暴露工具機的設 :、方法伴隨著許多的問題包括每個月祗能更新暴 露工具機的設定數次。此外,目前暴露工具機的更新仍以 人工的方式進行。 訂 通吊在許多晶圓的半導體製造工具機上進行一組製 程步驟’稱為暴露工具機或步進器(stepper)。此製造工具 機矛製L架構或製程模式網路相連通。此製造工具機通常 連接至設備的介面。此設備介面連接至連接步進器之機器 介面’因此完成該步進器和該製造架構之間的連通。此機 器介面通常可為一個先進製程控制(Apc)系統的一部份。 此先進製程控制啟動控制過蓉 以Mm# 為自動擁取需要資料 以執灯製每製程之軟體程式。以人工方式定期修正控制該 i 製造過程之輸入參數。當需要更精密之製造過程時,則需 要以更自動和省時的改良方法來檢查輸入控制該製造過程 經濟部智慧財產局員工消費合作社印製 之參數。此外’晶圓和晶圓之間的製造差異會造成半導體 裝置之品質的不一致。 雖然目前的控制系統提供一些較粗趟的製程控制,但 是本工業仍缺乏有效率之較精密的製程控制方法。目 控制系統通常負責多個製造部門。但是這些控制系 無法使製程之品質進入更深入的層次。 本發明可直接克服上述一種或以上的問題,或至少 減低其影響。
本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公i 91931 1281722 A7
五、發明說明( 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 [發明之概述] 在本發明之一態樣中,提供一種 裝入製程控制的方法。其至少可處理 反應對半導體裝置之製程進行裝入製 於此裝入製程控制的程序進行半導體 在本發明之另一態樣中,提供一 造工具機系統的裝置。本發明之裝置 及至少有一個與該電腦介接之製造工 具機系統包括能從電腦系統接收命令 以及藉該製造工具機系統進行製程之 [圖示之簡單說明] 可配合下列附圖之描述瞭解本發 相同之編號,其中: 第1圖為顯示製程控制系統之一 第2圖為顯示根據本發明裝置之 第3圖為第2圖實施例中之製造 描述; 第4圖為第3圖中裝入製程控制 詳細描述; 第5圖為顯示根據本發明方法之 第6圖為流程圖,更詳細說明第 程序之進行步驟;以及 第7圖為流程圖,說明針對偵測 參數的方法。 於製造工具機系統中 一種半導體裝置。其 程控制的程序。反應 裝置其後的製程。 種將製程控制裝入製 包括:電腦系統、以 具機系統,此製造工 之裝入製程控制系統 控制。 明’其相似之元件有 個實施例; 一個實施例; 工具機系統的更詳細 器之一個實施例的更 流程圖; 5圖中裴入製程控制 出之誤差修正其控 制 -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 91931 1281722 A7 ------- B7 ---- 五、發明說明(4 ) 本發明可進行各種形式的体 ^ ^ ^ 卜 幻修正和改變,藉圖中之範例 說明特定之實施例於此加以詳纟今 v _ . _ . 叶、、、田說明。然而,必須瞭解本 發明並不僅侷限於此揭示之转宁真/ j 1“ Μ (請先閱讀背面之注意事項再填寫本頁) < W疋形式的實施例。相反的, 本發明可涵蓋所有的修正、灼笪札 丄& β等物、以及在本發明所附申 請範圍之精神和範圍内的任何改變。 [元件符號說明] 經濟部智慧財產局員工消費合作社印製 105 半導體產品 110 製程工具機A 112 製程工具機B 115 第一個機器介面 117 第二個機器介面 120 線路 130 電腦系統 140 製造模組 145 量測資料處理裝置 150 量測工具機 155、 ‘ 170線路 160 回饋/前饋控制器 210 第一個製造工具機系統 220 第二個製造工具機系統 230 第N個製造工具機系統 310 裝入製程控制器 320 製造工具機 410 量測資料取得裝置 [較佳實施例之詳細說明] 本發明之實施例說明如 下。 必須說明,此專利說明蚩 * 曰 中並未列舉本發明全部之特徵。 事實上仍有許多其它之實 施例 ’但是,特定之實施例必須符合廠商之特定要求,例 如必須付合系統有關和商業有關之限制,故實施例之間有 很大的差異。此外,依此發展出之實施例可能非常複雜而 且費時,但是必然為熟知本領域之技藝者所勝任。 半導體的製造涉及許多嚴謹的製程。半導體裝置需要 多次經由多種半導體製程工具機的處理。晶圓和晶圓之 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 4 91931 1281722 A7 五、發明說明(5 ) 的差異會造成半導體裝置之品質 貝旧个蚁。製程步驟中此 類不良影響因素之一為光學蚀刻覆蓋製程。覆蓋製程為丰 導體製造中的許多重要步驟之一。 ·、 (請先閱讀背面之注意事項再填寫本頁) ^ W別疋,覆蓋製程涉及 製造過程中在兩層半導體裝W q 曰干等體裝置之間的定位偏移測量。覆蓋 製程的改良可實質上加強半導辦制 观干导體製程的品質和效率。本發 明提供不同晶圓和晶圓之間的一播白 阅心间的種自動校正誤差的方法。 半導體裝置在製造環蟥中南丨田i夕Μ 衣每衣力兄中利用許多輸入控制參數而加 以處理。現在參照第1圖,銳明太 口況明本發明之一個具體實施例。 在一個具體實施例中,利用嬙政】1Λ 扪用線路120上之多個控制輸入信 號在製程工具機110、112上知丁兮主道胁女 Ζ上加工該半導體產品105,例如 半導體晶圓。在此實施例中,此線路120上之控制輸入信 號從電腦系統130經由機器介面115、117而傳送至製程工 具機110、112。在此具體實施例中,該第一 面n5、n?放置於製程工具機11〇、112之外 個實施例中,該第一和第二機器介面115、117放置於製程 工具機110、112之内部。 經濟部智慧財產局員工消費合作社印制衣 在此實施例中,電腦系統130在線路12〇上傳送控制 輸入信號至第一和第二機器介面115、117。該電腦系統13〇 應用製造模組140在線路12〇上產生控制輸入信號。在此 實施例中,該製造模組含有一工作程序,決定在線路丨2 〇 上傳送之多個控制輸入參數。 在此實施例中,製造模組140定義製程劇本和輸入控 制而執行特定的製造程序。在線路12〇上提供製程工具機 A110之控制輸入信號由第一機器介面115接收和處理。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 5 91931 1281722 經濟部智慧財產局員工消費合作社印製
A7 五、發明說明( 線路120上提供製程工具機B112之控制輸入信號由第二 機器介面117接收和處理。利用於半導體製造程序之製程 工具機110、112的實例為步進器、掃描器、步進掃描工具 機、和餘刻製程工具機。在此實施例中,該製程工具機A110 和製程工具機BU2為光學蝕刻製程工具機,例如步進器。 由製程工具機110、112加工之一個或以上之半導體晶 圓通常送至量測:£具機150以取得量測資料。在此實施例 中,該量測工具機1 50為一個散射量測資料取得工具機' 或一個散射計(scatterometer)。來自量測工具機15〇之資料 經由量測資料處理裝置145之處理和整理。在此實施例 中,該量測資料處理裝置145相關該量測資料與特定製造 批次的已處理半導體晶圓。在此實施例中,該量測資料處 理裝置145整合入該電腦系統13〇内。在此實施例中,該 量測資料處理裝置145為裝入該電腦系統13〇之電腦軟體 程式,其中該電腦系統130整合入先進製程控制(Apc)之 架構内。 來自量測資料處理裝置145之製程量測資料,在此實 施例中為散射量測資料,被傳送至在線路i 55上之回饋/ 則饋控制器160。在此實施例中,該回饋/前饋控制器工 處理該散射量測資料並產生本領域之技藝中已知的回饋和 前饋調整資料。如下述之回饋和前饋調整資料經由線路 170傳送至該電腦系統130。此電腦系統13〇利用該回饋和 前饋調整資料修正在製造模組丨4〇内之資料,其可導致在 線路120上之控制輸入參數的適當改變。在此實施例中, ------------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 1281722 A7 五、發明說明(7 ) 該回饋/前饋控制器160整合入電腦系統13〇内。在此實施 例中,該回饋/前饋控制器160為裝入該電腦系統13〇之電 腦軟體程式。 (請先閱讀背面之注意事項再填寫本頁) 修正在線路120上之控制輸入信號的許多基礎之一為 在加工之半導體晶圓上進行之量測測定,例如散射量測測 疋。使用此量測測定來進行控制輸入信號之回饋修正和一 刖饋修正。通常,線路12〇上之控制輸入信號的回饋修正 為在光學#刻過程中進行,例如利用照射劑量來修正線寬 度以及利用曝光焦點修正來調整線之輪廓。線路12〇上之 控制輸入信號的回饋修正亦可於蝕刻過程中進行,例如利 用餘刻配方修正來調整蝕刻線的形狀。 線路120上之控制輸入信號的前饋修正可用來進行半 導體晶圓上其後之製程的校正。線路12〇上之控制輸入信 號的前饋修正可用於間隔層沉積的製程中,其可利用散射 測量技術調整精確形成於半導體晶圓上之有效線寬度。在 此實施例中,在間隔層沉積程序中,散射測量之測定可用 來調整可能影響間隔層寬度的沉積時間,其依次會影響半 經濟部智慧財產局員工消費合作社印製 導體晶圓上追縱器的有效線寬度。此外,散射測量之測定 可用來測量離子植入之參數以及在其後之離子植入過程中 調整離子植入之劑量。 本文中例如步進器加工之製造過程,線路12〇上之控 制輸入用來操作該製程工具機110,其包括χ_翻譯信號、 y-翻譯信號、X-擴充晶圓級信號、^擴充晶圓級信號、標 線(reticle)放大信號、以及標線旋轉信號。通常,曝光工 本紙張尺度綱巾關家標準(CNS)A4規格(210 x 297公爱) 7 91931 1281722 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(8 中會處理晶圓表面上一個特定曝光過程之錯誤標線放大 L號以及標線旋轉信號。本發明之主要特徵之一為使用一 種分析外部變化之後能在線路120上更新其控制輸入信號 的方法。 當製程工具機110的加工步驟結束時,如第丨圖之方 塊12〇中,檢查站會檢測在製程工具機11〇中已加工之半 導體晶圓。此類檢查站之一為KLA檢查站。來自該檢查站 之作業的一組資料為之前曝光過程導致的配準不良 bi_gistratlon)數量之定量測量。在此實施例中該配準 不良數量和加卫過程中發生在兩層半導體晶圓之間的定位 偏移有關。在此實施例中,該配準不良數量之發生可能歸 因於控制輸入至特定的曝光過程。控制輸入通常會因半導 體晶圓上操作曝光工具機時而影響加工步驟的準確度。此 控制輸入信號會影響加工之半導體晶圓的標線放大和位 置可利用控制輸入之修正來改善該應用於曝光工具機中 之加工步驟的性能。在此實施例中,根據外部變化對被加 工之半導體裝置的影響來修正在線路12〇上之控制輸入信 號。本發明提供一種方法和_種裝置以進行如上冑之製程 控制’其中該製程控制系統為裝入製造工具機系統中。 現在轉而看第2圖,為根據本發明實施例之裝置的說 明。此電腦系、统130為第-個製造工具機系統21〇、第二 個製造工具機系統22〇、卩帛N個製造工具機系統_之 間的介面。在此實施例中,獨立之製程控制系統整合或裝 入個別之第一至第N個製造工具機系統21〇、22〇、23〇之
表紙張尺度綱中關家鮮(CNS)A4祕⑵G 91931 · I I I--I I JeT- I I I-- (請先閱讀背面之注意事項再填寫本頁) S, 1281722
ϋ ^--------- (請先閱讀背面之注意事項再填寫本頁) 1281722 經濟部智慧財產局員工消費合作社印製
A7 五、發明說明(10 ) 詳細描述。在此實施例 ^ J Ύ裝入襄程控制器3 10包括量測 =取得裝置41〇、量測資料處理裝置145、回饋/反饋控 益160、以及製造模組14〇。在此實施例中,該量測資料 取得裝置410為量測工且播〗〇, 具機150,其能在晶圓至晶圓的基 礎上取得量測資料。量測f料處理裝置145處理和整理來 自量測資料取得裝置410之資料。在此實施例中,該量測 貝枓處理裝置U5將量測資料應用於處理 圓上。 此量測資料取得裝置41〇為回饋/反饋控制器WO的介 面。來自量測資料處理裝置145之經處理的量測資料被傳 送至回饋/反饋控制器160。在此實施例中,該回饋/反饋控 制器160 4理該經處理的量測資料並產生本領域之技获中 已知的回饋/反饋調整資料。裝入製程控制器㈣利用:回 饋/反饋調整資料在製造模組14G中進行修正,其會導致控 ^製造工具機32〇之操作的控制輸入參數產生適當的改 變。 通常,控制輸入信號的回饋修正為在光學餘刻過程中 進行,例如利用照射劑量來修正線寬度以及利用曝光焦點 修正來調整線之輪廓。控制輸入信號的回饋修正亦可 刻過程中進行,例如利用蚀刻工作程序修正來調整钱刻線 的形狀。線路120上之控制輸入信號的回饋修正亦可於餘 刻過程中進行,例如利用姓刻配方修正來調整儀刻線的妒 狀。控制輪入信號的前饋修正可用來進行半導體晶圓上其 後之製程的校正。線路120上之控制輸入信號的前饋修: 表紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐 ------------裝·-------訂--------- (請先閱讀背面之注意事項再填寫本頁) 1281722 五、發明說明(u 可用於間隔層沉積的缴 精被π 4、 襄程中,其可利用散射測量技術調整 猾確形成於半導齅曰圓, 體日日圓上之有效線寬度。 (請先閱讀背面之注意事項再填寫本頁) 在一實施例中,在曰圓门 制器31〇處理其取得^圓阳圓的基礎上以裝入製程控 侍的里測貧料、經處理之取得的量測資 秋、生之回饋和反饋資料、以及修正之控制輸入參數;' 該製程亦可按批來進行處理。如說明實例,在半導 體晶圓的薄臈沉積製裎中甘制屯此 償晨程中,其製造模組可指定工作程序而 _ 私之/儿積步驟以達到100埃(Angstrom)厚度的薄臈 I、積在處理半導體晶圓之後如果取得的資料巾發現其1 〇 ^積步驟產生1〇5埃厚度的薄膜沉積,則其回饋/反饋 控制器160會在處理下一個半導體晶圓之前修正在製造模 内之工作程序。該製造模組140内之工作程序能使 其沉積步驟的時間修正至98秒而在處理下一個半導體晶 圓時使其薄臈沉積厚度達到料。在此實施例中,其裝 入製程控制器310為先進製程控制架構。 現在轉而看第5圖,為根據本發明方法之一個實施例 之流程圖的說明。如第5圖之方塊510所述,該製造工具 經濟部智慧財產局員工消費合作社印製 機系統210至少處理一個半導體晶圓。如第5圖之方塊52〇 所述,在至少一個半導體晶圓被處理之後,開始進行裝入 製程控制程序。此裝入製程控制程序之更詳細的流程圖說 明於第6圖。 現在轉而看第6圖,如方塊610所述,在一晶圓至晶 圓的基礎上取得其量測資料。在此實施例中,在裝入製程 控制器310内之量測資料取得裝置41〇取得該量測資料。 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)
H 91931 1281722 A7 B7 五、發明說明(I2 ) (請先閱讀背面之注意事項再填寫本頁) 一旦取得此量測資料之後,如第6圖之方塊620所述,即 開始進行該量測資料之處理並將其應用於準備處理之相關 半導體晶圓。在此實施例中,該取得之量測資料是由裝入 製程控制器310内之量測資料處理裝置145來進行處理。 如第6圖之方塊630所述,於是利用此處理後之測量 資料產生回饋和前饋資料以供其後之半導體晶圓的處理。 在此實施例中,在裝入製程控制器3 1 〇内之回饋/前饋控制 器160產生該回饋和前饋資料。如第6圖之方塊64〇所述, 該回饋/前饋資料開始進行其後之半導體晶圓製程的控 制。在此實施例中,藉適當修正控制該製造工具機32〇之 操作的控制輸入參數,以製造模組執行該回饋/前饋資料之 進行。在此實施例中,藉檢查回饋和前饋資料所界定之製 造錯誤來修正該控制輸入參數,其說明於第7圖。 經濟部智慧財產局員工消費合作社印製 現在轉而看第7圖’如方塊710所述,取得並分析被 回饋和前饋資料所界定之製造錯誤資料。從本領域之技藝 内已知的多種方法之一取得該錯誤資料。一旦取得此錯誤 資料’如第7圖之方塊720所述,測定該錯誤資料是否在 靜區(deadband)内。方塊720中所述之步驟執行判定錯誤 是否已明顯足以使控制製造工具機320之控制輸入參數產 生改變。為了界定該靜區,從例如檢查站之產品分析站(未 顯不)取得之錯誤資料和預設之參數臨界值做比較。在此實 施例中,該靜區含一範圍之錯誤值結合控制輸入信號集中 緊鄰於一組對應之預設標的值,其通常可阻斷控制器之動 作。如果任何一種從產品分析站取得之錯誤小於其對應之 本紙張尺度適用中國國家標準(cns)a4規格(210 x 297公釐) 12 91931 經濟部智慧財產局員工消費合作社印製 1281722 五、發明說明(13 預設臨界值,則 ^ 、、特疋之錯誤被視為在該靜區内。該靜區 .^ , 為防止控制其製造工具機320之控制輸入 參數改變所> 導的過夕控制動作而造成半導體製造程序的 過度緊繃。 如方塊72〇中所+,$〜 所不 虽元成一測定而靜區内發現有對 ή控制輸入>[5號之錯誤時,如第7圖之方塊謂所述, 〜略該特疋的錯誤。因此,當預設靜區内發現有對應於控 希i輸L號之錯誤值時,不使用該特定之錯誤來更新其對 應之控制輸入信號。在此實施例中,如第7圖之方塊740 所述,當該預設靜區内測定出有錯誤資料時,針對該特定 錯誤貝料不會改變其控制參數。如第7圖之方塊740所述, 於疋再取得並分析新的錯誤資料。在此實施例中,重覆上 述之步驟以取得新的錯誤資料。 如方塊720中所示,當完成一測定而靜區内未發現有 對應於控制輸入信號之錯誤時,如第7圖之方塊75〇所述, 則更進一步之程序例如修正該控制輸入參數以補償該錯 誤。利用對應控制輸入信號之錯誤值以更新控制其後製造 過程步驟之製造工具機320的控制輸入參數。 完成第6圖和第7圖中所述之步驟實質上即完成第5 圖之方塊520中所述的裝入製程控制程序之步驟。轉而回 顧第5圖’ 一旦完成裝入製程控制程序,如第5圖之方塊 530所述,則開始進行其後之半導體晶圓的製程。本發明 之原理可應用於其匕類型的製造和製程控制裝置。 本發明之原理可應用於先進製程控制(APC)架構中。 -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) 13 91931 1281722 A7
經濟部智慧財產局員工消費合作社印製 本發明提供一種方法和一種裝置,其可如整合入一製造工 具機系統210、220、230内之裝入製程控制架構來執行此 先進製程控制架構。此先進製程控制為一較佳之平么,並 以其執行本發明之覆蓋控制策略。在一些實施例中,該先 進製程控制可為工廠導向之軟體系統,因此,本發明的控 制策略實質上可應用於工廠層次上任何半導體之製造工^ 機。此先進製程控制架構亦可進行遙控和監控製程之性 能。此外,藉利用此先進製程控制架構可使資料貯存比局 部趨動更具方便性、更具彈性以及更低成本。由於可寫入 所需要的軟體程式而使其具有很大的彈性,故此先進製程 控制平台可有更精密的控制類型。 以本發明配置此控制策略於先進製程控制架構上時需 要許多的軟體程式。除了在先進製程控制架構内的軟體程 式之外,每一具控制系統内的半導體製造工具機寫有一套 電腦程式。當控制系統内的半導體製造工具機開始進半導 體的製造加工時’通常會喚出該套電腦程式以啟動其製程 控制器,例如覆蓋控制器,所需的動作。此控制方法通常 被界疋在此套軟體下進行。此套軟體的發展在控制系統的 發展上佔非常重要的部份。本發明的原理可應用於其它類 型之製造架構中。 上述揭示之特定實施例僅供說明之用,本發明可利用 本領域所熟知之技藝以不同但相同原理之方法加以修飾以 供說明。此外,此處所示之詳細結構或設計除了下述專利 申明範圍内所述之外並無任何的限制。因此,上述揭示之 --------------------訂-------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公爱) 14 91931 1281722 A7 _B7_ 五、發明說明(Μ ) 特定實施例可加以改變或修正,並且全部之改變均視為包 含於本發明之範圍和精神内。於是,將其說明於下述之專 利申請範圍内以受專利之保護。 -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 15 91931

Claims (1)

  1. 經濟部中央標準局員工福利委員會印製
    換頁1 1. 15 ! a 1 陳曰!t 第90124634號專利申請案 申請專利範圍修正本 (92年1月15曰 1 · 一種方法,包括: 處理至少一種半導體裝置; 反應該半導體裝置之該製程而執行裝入製程控制 程序’該裝入製程控制包括根據相關於該處理之半導 體之量測資料而執行反饋和前饋修正至少其中之一· 以及 ’、 , 反應該裝入製程控制程序而執行其後 置的製程。 ^ 2·如申請專利範圍第!項之方法,其中處理至少一種半 導體裝置進一步包括處理半導體晶圓。 3‘Π=圍!2項之方法,其中處理半導體晶圓 進-步包括在料導體晶圓上執行光學崎 4·如申請專利範圍第1項之方 制程序進-步包括: 中執行裝入製程控 取得量測資料; 處理該取得之量測資料; 利用該處理之量測資料 及 座生口饋和前饋資料;以 在利用該回饋和前饋資料控^ _ 個控制輸入參數上執行回_ ί之至— ,L ^ 仃口饋和珂饋控制。 1 ·如申請專利範圍第4項之方法,复 目贿鮮(cnS) +取得量測資料進 97 a ) 91931 頁 12
    乂包括取得散射量測資料。 其中取得量測資料進 其中取得量測資料進 其中處理該取得之量 •:申請專利範圍第4項之方法 V匕括取彳于臨界尺寸資料。 •:申請專利範圍第1項之方法 一步包括取得配準不良之資料 •如申請專利範圍第1項之方法 測資粗% 止A π ,W *土咏砜得之量 署’進-乂包括將該取得之量測資料應 裝置之相關製程。 涂體 .如申請專利範圍第1項之方法, 乂 U m ^ . ± 八中產生回饋和則饋資 資ί 學餘刻製程中產生用來修正焦距之 】〇t:請專利範圍第1項之方法,其中產生回饋和前饋 、广進步包括產生能用來修正間隔層沉積製程之 料。 兵 11 ~ •一種用指令編碼之電腦可讀取程式儲存裝置,當用電 腦執行時,可施行一種方法,包括: \ 經濟部中央標準局員工福利委員會印製 處理至少一種半導體裝置; 反應該半導體裝置之該製程而執行裝入製程控制 程序 4裝入製程控制包括根據相關於該處理之半導 體之量測資料而執行反饋和前饋更正至少其中之一; 以及 反應該裝入製程控制程序而執行其後之半導體裝 置的製程。 12 · —種裝置,包括: 本紙張尺度適用中國國家標準(CNS) A4規格(210 x297公釐) 91931
    電腦系統;以及 製造!1機:以該電腦為介面之製造工具機系統,該 包括能夠從該電腦系統接收命令之裝 行之製造製程。 J由遠製造工具機系統所執 13_如申請專利範圍第12項之萝署 ^ ^ % ^ 貝之裝置,其中該裝入製裎控制 糸統為先進製程控制系統(Apc)。 如申請專利範圍第12項 … 統進一步包括: 其中該製造工具機系 機器介面; 以電耦合至該機器介面 ^ ^ 教艳工具機;以及 麵曰至該機器介面和該製诰 制哭呤姑„ 衣以工具機之裝入製程控 制时,该裝入製程控制器能利 工且撬、# >制 玄機益介面對該製造 工具機進订製程控制。 經濟部中央標準局員工福利委員會印製 15:::專利範圍第14項之裝置,其中該機器介面能從 ?-個該電腦系統和該裝入製程控制器接 料,以及利用該控制資料控制該製造工具機。 貝 16·如申請專利範圍第μ項之裝 :。 光學姓刻工具機。 …製造工具機為 其中該製造工具機 其中該製造工具機 17. 如申請專利範圍第14項之裝置 蝕刻工具機。 18. 如申請專利範圍第14項之裝置 處理薄膜沉積之工具機。 其中該裝入製程控 91931 19·如申請專利範圍第14項之裝置 本紙張尺度適用中國國家標準(CNS) A4規袼(210 X297公爱)— 1Τ 两_ 12
    器更進一步包括: 裝置能從該製造工具機取得量测資料之量測資料取得 耦合至該量測資料取得裝置之量測資料處理裝 置’該量測資料處理裝置能替 資料; <埋在罝此正理和處理該取得之量洌 輕合至該量測資料處理裝置之回饋/前饋控制器, 相饋/前饋控制器能產生回饋和前饋調整資料;以及 輕合至該回饋/前饋控制器之製造模組,該製造模 組能利用該產生之回饋和前饋調整資料對控制該製造 工具機之操作的至少一個控制輪入參數進行修正。 2〇·如申請專利範圍第]9頊之梦罟 r 固弟]9員之裝置,其中該量測資料取得 裝置為ΐ測工具機。 21·如申請專利範圍第20項之裝置,其中該量測工具機 散射量測工具機。 “ 22·如申請專利範圍第20項之裝置’纟中該量測工具機能 在半導體晶圓上測量臨界尺寸。 經濟部中央標準局員工福利委員會印製 23· —種裝置,包括: 能處理至少一種半導體裝置之機構; 能反應該半導體裝置之該製程而執行裝入製程控 制程序之機構;以及 能反應該裝入製程控制程序用來施行其後之半導 體裝置製程之機構。 91931
TW090124634A 2000-10-23 2001-10-05 Method and apparatus for embedded process control framework in tool systems TWI281722B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/694,139 US6304999B1 (en) 2000-10-23 2000-10-23 Method and apparatus for embedded process control framework in tool systems

Publications (1)

Publication Number Publication Date
TWI281722B true TWI281722B (en) 2007-05-21

Family

ID=24787558

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090124634A TWI281722B (en) 2000-10-23 2001-10-05 Method and apparatus for embedded process control framework in tool systems

Country Status (9)

Country Link
US (1) US6304999B1 (zh)
EP (1) EP1330684B1 (zh)
JP (1) JP2004512691A (zh)
KR (1) KR100836946B1 (zh)
CN (1) CN1630840B (zh)
AU (1) AU2001283404A1 (zh)
DE (1) DE60111411T2 (zh)
TW (1) TWI281722B (zh)
WO (1) WO2002035300A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI485019B (zh) * 2012-10-15 2015-05-21 Delta Photoelectric Industry Wujiang Co Ltd 使用不同運動控制產品的pcb鑽銑設備的運行方法及系統

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6405144B1 (en) * 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US20020018217A1 (en) * 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
KR100811964B1 (ko) * 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
US6553390B1 (en) * 2000-11-14 2003-04-22 Advanced Micro Devices, Inc. Method and apparatus for simultaneous online access of volume-managed data storage
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6571371B1 (en) * 2000-12-27 2003-05-27 Advanced Micro Devices, Inc. Method and apparatus for using latency time as a run-to-run control parameter
US6482660B2 (en) * 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6509201B1 (en) * 2001-04-11 2003-01-21 Advanced Micro Devices, Inc. Method and apparatus for monitoring wafer stress
US6458610B1 (en) * 2001-05-31 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for optical film stack fault detection
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6649426B2 (en) * 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030074098A1 (en) * 2001-09-18 2003-04-17 Cheung Robin W. Integrated equipment set for forming an interconnect on a substrate
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
US6643596B2 (en) * 2001-12-13 2003-11-04 Yield Dynamics, Inc. System and method for controlling critical dimension in a semiconductor manufacturing process
US6737208B1 (en) * 2001-12-17 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration incorporating feedforward overlay information
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
US8180587B2 (en) 2002-03-08 2012-05-15 Globalfoundries Inc. System for brokering fault detection data
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings
US6912435B2 (en) * 2002-08-28 2005-06-28 Inficon Lt Inc. Methods and systems for controlling reticle-induced errors
US6912436B1 (en) * 2002-09-30 2005-06-28 Advanced Micro Devices, Inc. Prioritizing an application of correction in a multi-input control system
US6865438B1 (en) 2002-09-30 2005-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of using time interval in IC foundry to control feed back system
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
US6660543B1 (en) * 2002-10-31 2003-12-09 Advanced Micro Devices, Inc. Method of measuring implant profiles using scatterometric techniques wherein dispersion coefficients are varied based upon depth
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7254453B2 (en) 2002-11-21 2007-08-07 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
US6815232B2 (en) * 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
US6957120B1 (en) * 2003-01-06 2005-10-18 Advanced Micro Devices, Inc. Multi-level process data representation
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7085676B2 (en) 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
JP4880888B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
JP4880889B2 (ja) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 半導体装置の製造方法
US7018855B2 (en) * 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US6980873B2 (en) 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
US7437404B2 (en) * 2004-05-20 2008-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving equipment communication in semiconductor manufacturing equipment
US7242995B1 (en) 2004-10-25 2007-07-10 Rockwell Automation Technologies, Inc. E-manufacturing in semiconductor and microelectronics processes
DE102005035735B4 (de) * 2005-07-29 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Abschätzen eines Zustands einer nicht initialisierten fortschrittlichen Prozesssteuerung durch Anwendung unterteilter Steuerungsdaten
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US7526354B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7639351B2 (en) * 2007-03-20 2009-12-29 Tokyo Electron Limited Automated process control using optical metrology with a photonic nanojet
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7372583B1 (en) 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US20090065820A1 (en) * 2007-09-06 2009-03-12 Lu-Yang Kao Method and structure for simultaneously fabricating selective film and spacer
US8069020B2 (en) * 2007-09-19 2011-11-29 Tokyo Electron Limited Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
US8190543B2 (en) * 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US7761178B2 (en) * 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
US8867018B2 (en) * 2009-02-10 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improved overlay correction
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US9740184B2 (en) * 2009-11-16 2017-08-22 Applied Materials, Inc. Controls interface solution for energy savings
CN103199037A (zh) * 2012-01-06 2013-07-10 沈阳新松机器人自动化股份有限公司 一种半导体加工设备的efem控制系统
KR20190141027A (ko) * 2012-05-24 2019-12-20 가부시키가이샤 니콘 디바이스 제조 방법
CN106597913A (zh) * 2015-10-20 2017-04-26 沈阳新松机器人自动化股份有限公司 硅片传输平台控制系统
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP6622741B2 (ja) 2017-03-07 2019-12-18 日本碍子株式会社 ハニカム構造体
GB201721309D0 (en) 2017-12-19 2018-01-31 Renishaw Plc Production and measurement of workpieces
DE102019111715A1 (de) * 2019-05-06 2020-11-12 Kompetenzzentrum - Das Virtuelle Fahrzeug Forschungsgesellschaft Mbh Method of adjusting a manufacturing process for a component
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
JP2022062409A (ja) * 2020-10-08 2022-04-20 株式会社日立製作所 情報処理システム及び加工管理方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5440478A (en) * 1994-02-22 1995-08-08 Mercer Forge Company Process control method for improving manufacturing operations
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
KR980005954A (ko) * 1996-06-19 1998-03-30 김광호 반도체제조장치의 에러감시시스템
US6134705A (en) * 1996-10-28 2000-10-17 Altera Corporation Generation of sub-netlists for use in incremental compilation
US6096091A (en) * 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
US6883158B1 (en) * 1999-05-20 2005-04-19 Micronic Laser Systems Ab Method for error reduction in lithography
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI485019B (zh) * 2012-10-15 2015-05-21 Delta Photoelectric Industry Wujiang Co Ltd 使用不同運動控制產品的pcb鑽銑設備的運行方法及系統

Also Published As

Publication number Publication date
DE60111411D1 (de) 2005-07-14
EP1330684B1 (en) 2005-06-08
AU2001283404A1 (en) 2002-05-06
CN1630840B (zh) 2010-04-28
KR100836946B1 (ko) 2008-06-11
DE60111411T2 (de) 2006-05-11
US6304999B1 (en) 2001-10-16
KR20040005846A (ko) 2004-01-16
JP2004512691A (ja) 2004-04-22
WO2002035300A2 (en) 2002-05-02
CN1630840A (zh) 2005-06-22
EP1330684A2 (en) 2003-07-30
WO2002035300A3 (en) 2003-01-16

Similar Documents

Publication Publication Date Title
TWI281722B (en) Method and apparatus for embedded process control framework in tool systems
TW525254B (en) Method and apparatus for performing final critical dimension control
TWI235897B (en) Method and apparatus for interfacing a statistical process controls system with a manufacturing process control framework
KR100804284B1 (ko) 산란 측정을 이용하여 피드백 및 피드-포워드 제어를 수행하기 위한 방법 및 그 장치와, 그리고 상기 방법을 수행하기 위한 명령어들이 코드화된 컴퓨터 판독가능 프로그램 저장 매체
US6449524B1 (en) Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6708075B2 (en) Method and apparatus for utilizing integrated metrology data as feed-forward data
US6218200B1 (en) Multi-layer registration control for photolithography processes
JP2006269867A (ja) 露光装置
KR20050026062A (ko) 공정 제어 시스템에 대한 동적 타겟팅
US6560506B2 (en) Method and apparatus for control for semiconductor processing for reducing effects of environmental effects
TWI685726B (zh) 用於控制基板之定位之方法及用於判定參照基板之特徵之位置的方法
US11181836B2 (en) Method for determining deformation
JP2023052695A (ja) 製品ユニットの製造プロセスのシーケンスの最適化
US6698009B1 (en) Method and apparatus for modeling of batch dynamics based upon integrated metrology
US6571371B1 (en) Method and apparatus for using latency time as a run-to-run control parameter
US6618640B1 (en) Method and apparatus for using integrated remote identifier
KR20180119503A (ko) 모니터링 방법 및 디바이스의 제조 방법
KR20110011530A (ko) 반도체 필드내 도즈 보정
US20080079925A1 (en) Processing apparatus
US6834211B1 (en) Adjusting a trace data rate based upon a tool state
US6871114B1 (en) Updating process controller based upon fault detection analysis
US6788988B1 (en) Method and apparatus using integrated metrology data for pre-process and post-process control
US7006195B2 (en) Method and system for improving exposure uniformity in a step and repeat process
US6912436B1 (en) Prioritizing an application of correction in a multi-input control system
US6978189B1 (en) Matching data related to multiple metrology tools

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees