AU2001283404A1 - Method and apparatus for embedded process control framework in tool systems - Google Patents

Method and apparatus for embedded process control framework in tool systems

Info

Publication number
AU2001283404A1
AU2001283404A1 AU2001283404A AU8340401A AU2001283404A1 AU 2001283404 A1 AU2001283404 A1 AU 2001283404A1 AU 2001283404 A AU2001283404 A AU 2001283404A AU 8340401 A AU8340401 A AU 8340401A AU 2001283404 A1 AU2001283404 A1 AU 2001283404A1
Authority
AU
Australia
Prior art keywords
process control
control framework
tool systems
embedded process
embedded
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001283404A
Inventor
Elfido Coss Jr.
Anthony J. Toprac
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of AU2001283404A1 publication Critical patent/AU2001283404A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Multi-Process Working Machines And Systems (AREA)
AU2001283404A 2000-10-23 2001-08-16 Method and apparatus for embedded process control framework in tool systems Abandoned AU2001283404A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/694,139 2000-10-23
US09/694,139 US6304999B1 (en) 2000-10-23 2000-10-23 Method and apparatus for embedded process control framework in tool systems
PCT/US2001/025655 WO2002035300A2 (en) 2000-10-23 2001-08-16 Method and apparatus for embedded process control framework in tool systems

Publications (1)

Publication Number Publication Date
AU2001283404A1 true AU2001283404A1 (en) 2002-05-06

Family

ID=24787558

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001283404A Abandoned AU2001283404A1 (en) 2000-10-23 2001-08-16 Method and apparatus for embedded process control framework in tool systems

Country Status (9)

Country Link
US (1) US6304999B1 (en)
EP (1) EP1330684B1 (en)
JP (1) JP2004512691A (en)
KR (1) KR100836946B1 (en)
CN (1) CN1630840B (en)
AU (1) AU2001283404A1 (en)
DE (1) DE60111411T2 (en)
TW (1) TWI281722B (en)
WO (1) WO2002035300A2 (en)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6405144B1 (en) * 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
JP2004536440A (en) * 2000-08-11 2004-12-02 サーマ−ウェーブ・インコーポレイテッド Optical critical dimension metrology system built into semiconductor wafer processing tool
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7196782B2 (en) * 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
KR100811964B1 (en) * 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 Resist pattern forming apparatus and method thereof
US6553390B1 (en) * 2000-11-14 2003-04-22 Advanced Micro Devices, Inc. Method and apparatus for simultaneous online access of volume-managed data storage
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6571371B1 (en) * 2000-12-27 2003-05-27 Advanced Micro Devices, Inc. Method and apparatus for using latency time as a run-to-run control parameter
US6482660B2 (en) * 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6509201B1 (en) * 2001-04-11 2003-01-21 Advanced Micro Devices, Inc. Method and apparatus for monitoring wafer stress
US6458610B1 (en) * 2001-05-31 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for optical film stack fault detection
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6649426B2 (en) * 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030074098A1 (en) * 2001-09-18 2003-04-17 Cheung Robin W. Integrated equipment set for forming an interconnect on a substrate
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
US6643596B2 (en) * 2001-12-13 2003-11-04 Yield Dynamics, Inc. System and method for controlling critical dimension in a semiconductor manufacturing process
US6737208B1 (en) * 2001-12-17 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration incorporating feedforward overlay information
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
US8180587B2 (en) 2002-03-08 2012-05-15 Globalfoundries Inc. System for brokering fault detection data
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings
US6912435B2 (en) * 2002-08-28 2005-06-28 Inficon Lt Inc. Methods and systems for controlling reticle-induced errors
US6912436B1 (en) * 2002-09-30 2005-06-28 Advanced Micro Devices, Inc. Prioritizing an application of correction in a multi-input control system
US6865438B1 (en) 2002-09-30 2005-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of using time interval in IC foundry to control feed back system
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
US6660543B1 (en) * 2002-10-31 2003-12-09 Advanced Micro Devices, Inc. Method of measuring implant profiles using scatterometric techniques wherein dispersion coefficients are varied based upon depth
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7254453B2 (en) 2002-11-21 2007-08-07 Advanced Micro Devices, Inc. Secondary process controller for supplementing a primary process controller
US6815232B2 (en) * 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
US6957120B1 (en) * 2003-01-06 2005-10-18 Advanced Micro Devices, Inc. Multi-level process data representation
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7085676B2 (en) 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
JP4880888B2 (en) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 Manufacturing method of semiconductor device
JP4880889B2 (en) * 2003-09-09 2012-02-22 セイコーインスツル株式会社 Manufacturing method of semiconductor device
US7018855B2 (en) * 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US6980873B2 (en) 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
US7437404B2 (en) * 2004-05-20 2008-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving equipment communication in semiconductor manufacturing equipment
US7242995B1 (en) 2004-10-25 2007-07-10 Rockwell Automation Technologies, Inc. E-manufacturing in semiconductor and microelectronics processes
DE102005035735B4 (en) * 2005-07-29 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Method and system for estimating a state of uninitialized advanced process control by using subdivided control data
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US7526354B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7639351B2 (en) * 2007-03-20 2009-12-29 Tokyo Electron Limited Automated process control using optical metrology with a photonic nanojet
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7372583B1 (en) 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US20090065820A1 (en) * 2007-09-06 2009-03-12 Lu-Yang Kao Method and structure for simultaneously fabricating selective film and spacer
US8069020B2 (en) * 2007-09-19 2011-11-29 Tokyo Electron Limited Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
US8190543B2 (en) * 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US7761178B2 (en) * 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
US8867018B2 (en) * 2009-02-10 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improved overlay correction
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US9740184B2 (en) * 2009-11-16 2017-08-22 Applied Materials, Inc. Controls interface solution for energy savings
CN103199037A (en) * 2012-01-06 2013-07-10 沈阳新松机器人自动化股份有限公司 EFEM control system of semiconductor processing equipment
KR102314386B1 (en) * 2012-05-24 2021-10-19 가부시키가이샤 니콘 Device manufacturing method
CN102880153B (en) * 2012-10-15 2015-06-24 中达光电工业(吴江)有限公司 Running method and system of PCB (Printed Circuit Board) drilling and milling equipment adopting different movement control products
CN106597913A (en) * 2015-10-20 2017-04-26 沈阳新松机器人自动化股份有限公司 Silicon wafer transmission platform control system
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP6622741B2 (en) 2017-03-07 2019-12-18 日本碍子株式会社 Honeycomb structure
GB201721309D0 (en) * 2017-12-19 2018-01-31 Renishaw Plc Production and measurement of workpieces
DE102019111715A1 (en) * 2019-05-06 2020-11-12 Kompetenzzentrum - Das Virtuelle Fahrzeug Forschungsgesellschaft Mbh Method of adjusting a manufacturing process for a component
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
JP2022062409A (en) * 2020-10-08 2022-04-20 株式会社日立製作所 Information processing system and processing management method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5440478A (en) * 1994-02-22 1995-08-08 Mercer Forge Company Process control method for improving manufacturing operations
WO1997012300A1 (en) * 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
KR980005954A (en) * 1996-06-19 1998-03-30 김광호 Error Monitoring System of Semiconductor Manufacturing Equipment
US6321369B1 (en) * 1996-10-28 2001-11-20 Altera Corporation Interface for compiling project variations in electronic design environments
US6096091A (en) * 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
CN1196031C (en) * 1999-05-20 2005-04-06 麦克隆尼克激光系统有限公司 Method for error reduction in lithography
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control

Also Published As

Publication number Publication date
EP1330684B1 (en) 2005-06-08
JP2004512691A (en) 2004-04-22
EP1330684A2 (en) 2003-07-30
US6304999B1 (en) 2001-10-16
WO2002035300A2 (en) 2002-05-02
TWI281722B (en) 2007-05-21
DE60111411T2 (en) 2006-05-11
KR100836946B1 (en) 2008-06-11
WO2002035300A3 (en) 2003-01-16
CN1630840B (en) 2010-04-28
DE60111411D1 (en) 2005-07-14
CN1630840A (en) 2005-06-22
KR20040005846A (en) 2004-01-16

Similar Documents

Publication Publication Date Title
AU2001283404A1 (en) Method and apparatus for embedded process control framework in tool systems
AU2001275970A1 (en) Method and apparatus for performing final critical dimension control
AU2001267232A1 (en) Method and apparatus for improved process control in combustion applications
AU2002235516A1 (en) Method and apparatus for predicting failure in a system
AU2002340674A1 (en) Method and apparatus for a waking control system
AU2002257095A1 (en) Method and apparatus for power control in a communication system
AU2002346863A1 (en) Method and apparatus for modular embedded control system
AU2001266514A1 (en) Method and apparatus for selection control
AU2001243654A1 (en) System and method for treating process material
AU2002210877A1 (en) System and method for monitoring process quality control
AU2001268237A1 (en) Method and apparatus for interfacing a statistical process control system with amanufacturing process control framework
HUP0302014A3 (en) Method and device for process controlling
AU6615600A (en) Methods and apparatus for object-based process control
AU2001271858A1 (en) Method and apparatus for modeling thickness profiles and controlling subsequent etch process
AU2003270528A1 (en) Method and apparatus for splitting a logical block
EP1132164A3 (en) Apparatus and method for installing fasteners in a workpiece
AU2002232522A1 (en) Method and apparatus for performing reverse rate matching in a cdma system
AU2003282557A1 (en) Method and apparatus for limiting a transmission in a dispatch system
AU2001247668A1 (en) Method and apparatus for increased workpiece throughput
AU2003209148A1 (en) Method and apparatus for process monitoring and control
AU2001259278A1 (en) Method and apparatus for diet control
AU2001244814A1 (en) Apparatus and method for power integrated control
AU2003240360A1 (en) Method and system for decontaminating a clean-room
AU2002228893A1 (en) Method and apparatus for integrating multiple process controllers
GB2373346B (en) Method and apparatus for identifying an i/o network in a process control system