JP2023052695A - 製品ユニットの製造プロセスのシーケンスの最適化 - Google Patents

製品ユニットの製造プロセスのシーケンスの最適化 Download PDF

Info

Publication number
JP2023052695A
JP2023052695A JP2023010958A JP2023010958A JP2023052695A JP 2023052695 A JP2023052695 A JP 2023052695A JP 2023010958 A JP2023010958 A JP 2023010958A JP 2023010958 A JP2023010958 A JP 2023010958A JP 2023052695 A JP2023052695 A JP 2023052695A
Authority
JP
Japan
Prior art keywords
sequence
product
processes
product unit
executed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023010958A
Other languages
English (en)
Inventor
ウィルデンベルグ、ヨヘム、セバスティアーン
Sebastiaan Wildenberg Jochem
ヨヘムセン、マリヌス
Jochemsen Marinus
ジェンセン、エリク
Jensen Erik
ワレルボス、エリク、ヨハネス、マリア
Johannes Maria Wallerbos Erik
ライネルス、コーネリス、ヨハネス
Johannes Rijnierse Cornelis
ラジャセカラン、ビジョイ
Rajasekharan Bijoy
ウェルクマン、ロイ
Werkman Roy
スホーヌス、ユルヘン、ヨハネス、ヘンデリクス、マリア
Johannes Henderikus Maria Schoonus Jurgen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2023052695A publication Critical patent/JP2023052695A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32291Task sequence optimization
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Figure 2023052695000001
【課題】製品ユニットの製造のための一連のプロセスを最適化するための方法を提供する。
【解決手段】方法は、パフォーマンスパラメータ(フィンガープリント)の測定結果を記録されたプロセス特性(コンテキスト)と関連付け(406)、製品ユニット上で既に実行されたシーケンス内の前のプロセス特性(コンテキスト)を取得し(408)、製品ユニットで実行されるシーケンスの後続プロセス特性(コンテキスト)を取得し(410)、得られた特性を使用して、一連の以前及び後続のプロセスに関連付けられた製品ユニットの予測性能パラメータを決定し(412)、決定された予測性能パラメータに基づいて、製品ユニットに対して実行されるシーケンスの第1の将来のプロセスに対する第1の修正を決定する(414、416)。
【選択図】図4

Description

本発明は、例えばリソグラフィ技術による半導体デバイスウエハの製造に使用可能な、製品ユニットの製造のための一連のプロセスを最適化する方法に関する。本発明は、関連するコンピュータープログラム及びコンピュータープログラム製品、ならびに装置にも関する。
リソグラフィ装置は、所望のパターンを基板、通常は基板のターゲット部分に適用する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用できる。その場合、代わりにマスク又はレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層に形成される回路パターンを生成することができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、ダイの一部、1つ、又はいくつかのダイを含む)に転写することができる。パターンの転写は、典型的には、基板上に設けられた放射線感受性材料(レジスト)の層への画像化によるものである。一般に、単一の基板には、連続的にパターン化された隣接するターゲット部分のネットワークが含まれる。これらのターゲット部分は、一般に「フィールド」と呼ばれる。ウェーハは、半導体製造施設(ファブ)のさまざまな装置を介して、バッチ又はロットで処理される。集積回路は、各層でリソグラフィ装置によって実行されるリソグラフィステップと、リソグラフィステップの間に実行される他の製造プロセスにより、層ごとに構築される。
画像化ステップの前に、さまざまな化学的及び/又は物理的処理ステップを使用して、パターニングのために層を形成し、準備する。画像化ステップがパターンを定義した後、さらなる化学的及び/又は物理的処理ステップがパターンを介して機能し、集積回路の機能的フィーチャを作成する。イメージングと処理のステップは、集積回路を構築するために多層プロセスで繰り返される。
基板上のパターンの正確な配置は、リソグラフィによって生成される可能性のある回路コンポーネントやその他の製品のサイズを縮小するための主要な課題である。特に、既に配置された基板上のフィーチャを正確に測定するという課題は、高い歩留まりで作業デバイスを製造するために十分な精度でフィーチャの連続層を正確に重ね合わせるための重要なステップである。いわゆるオーバーレイは、一般に、今日のサブミクロン半導体デバイスでは数十ナノメートル以内、最も重要な層では数ナノメートルまで達成する必要がある。
その結果、最新のリソグラフィ装置は、ターゲット位置で基板を実際に露光又はパターン化するステップの前に、広範な測定又は「マッピング」操作を伴う。いわゆる高度な位置合わせモデルは、処理ステップ及び/又はリソグラフィ装置自体によって引き起こされるウェーハ「グリッド」の非線形歪みをより正確にモデリング及び修正するために開発され続けている。ただし、露光中にすべてのディストーションを修正できるわけではなく、そのようなディストーションの原因を可能な限り追跡して排除することが重要である。
最新の多層リソグラフィプロセスと製品は非常に複雑であるため、処理に起因する問題を根本原因までさかのぼることは困難である。従って、ウェーハの完全性の監視と適切な修正戦略の設計は、時間と労力を要する作業である。
参照によりその全体が本明細書に組み込まれる国際特許出願WO2015049087は、工業プロセスに関する診断情報を取得する方法を開示している。リソグラフィプロセスの実行中の段階で位置合わせデータ又は他の測定が行われ、位置ずれを表すオブジェクトデータ又は各ウェーハ全体に空間的に分布するポイントで測定される他のパラメータが取得される。オーバーレイ及びアライメントの残留物は、通常、フィンガープリントとして知られるウェーハ全体にわたるパターンを示す。このオブジェクトデータは、多変量解析を実行して多次元空間内のウェーハを表すベクトルのセットを1つ以上のコンポーネントベクトルに分解することにより、診断情報を取得するために使用される。産業プロセスに関する診断情報は、成分ベクトルを使用して抽出される。後続のウェーハの工業プロセスのパフォーマンスは、抽出された診断情報に基づいて制御できる。
半導体製造では、単純な制御ループを使用して、クリティカルディメンション(CD)性能パラメータのフィンガープリントを修正できる。通常、フィードバック機構は、スキャナー(リソグラフィ装置の一種)をアクチュエータとして使用して、ウェーハあたりのドーズ量を制御する。同様に、オーバーレイパフォーマンスパラメータオーバーレイの場合、処理ツールによって誘発されるフィンガープリントは、スキャナーアクチュエーターを調整することで修正できる。
しかしながら、このようなアプローチの欠点は、修正の粒度に制限があることである。ロットの各ウェーハは同じ修正を取得する、即ち、ロットレベルの修正がある。さらに、利用可能なアクチュエーター(スキャナーやエッチャーなど)の中で最も適切なものだけが、擾乱フィンガープリントの修正に使用される。
ウェーハ間のばらつきはロット内に存在し、リソプロセス全体(CMP/蒸着/コーティング/露光/現像/エッチング/蒸着/など)の最適化は、利用可能なセンサ、計測データ、及び修正を行うためのアクチュエータの自由度の観点から最善ではない。
本発明者らは、一連のプロセスを最適化する方法を考案した。例えば、リソグラフィ技術によるデバイスの製造に使用して、エッチング後のCDに対する堆積厚さの変化やエッチャーのフィンガープリントなどのフィンガープリントの悪影響を低減し、それによって上記の関連する課題の1つ以上を回避又は少なくとも緩和しながら歩留まりを向上させることができる。
第1の態様における本発明は、製品ユニットの製造のためのプロセスのシーケンスを最適化する方法を提供し、この方法は:
(a)製品ユニット上で既に実行された前記シーケンス内の前のプロセスの特性を取得することと、
(b)前記製品ユニット上で実行される前記シーケンス内の後続プロセスの特性を取得することと、
(c)取得された特性を使用して、前記シーケンスの前記前のプロセス及び前記後続プロセスに関連付けられた前記製品ユニットの予測性能パラメータを決定することと、
(d)決定された予測性能パラメータに基づいて、前記製品ユニット上で実行される前記シーケンス内の第1の将来のプロセスに対する第1の修正を決定することと(414)、を含む。
前記予測性能パラメータを決定するステップ(c)は、
(c1)複数の製品ユニット上で実行される前記シーケンス内のプロセスの記録された特性を取得するステップと、
(c2)前記複数の製品ユニットから各製品ユニットの性能パラメータの測定結果を取得するステップと、
(c3)前記性能パラメータの測定結果をそれぞれの記録された特性に関連付けるステップと、
(c4)前記取得された特性を使用して、前記記録された特性に対応する前記性能パラメータの測定結果を取得することにより、前記シーケンスの前記前のプロセス及び前記後続プロセスに関連付けられた前記製品ユニットの前記予測性能パラメータを決定するステップと、を含む。
第2の態様における本発明は、適切なコンピュータ装置で実行されると、コンピュータ装置に第1の態様の方法を実行させるコンピュータ可読命令を含むコンピュータープログラムを提供する。
第3の態様の本発明は、第2の態様のコンピュータープログラムを含むコンピュータープログラム製品を提供する。
第4の態様の本発明は、第1の態様の方法のステップを実行するように特に適合された装置を提供する。
ここで、添付図面を参照して、例として本発明の実施形態を説明する。
半導体デバイスの生産設備を形成する他のツールとリソグラフィ装置を示す。
本発明の実施形態による、一連のプロセスの記録された特性に関連付けられている性能パラメータの測定結果の高レベルのフロー図を示している。
本発明の実施形態による、図2のシーケンス内の2つのプロセスに対する修正を決定及び適用する高レベルのフロー図を示す。
本発明の実施形態による方法のフローチャートである。
本明細書で開示される方法を実施するのに有用なコンピューティング装置を示す。
本発明の実施形態を詳細に説明する前に、本発明の実施形態を実施することができる例示的な環境を提示することは有益である。
図1の100は、大量のリソグラフィ製造プロセスを実施する産業施設の一部としてのリソグラフィ装置LAを示している。本実施例では、製造プロセスは、半導体ウェーハなどの基板上の半導体製品(集積回路)の製造に適合している。当業者は、このプロセスの変形で異なるタイプの基板を処理することにより、多種多様な製品を製造できることを理解するであろう。半導体製品の生産は、純粋に今日の商業的重要性の高い例として使用されている。
リソグラフィ装置(又は略して「リソツール」100)内では、測定ステーションMEAが102で示され、露光ステーションEXPが104で示されている。制御ユニットLACUは106で示されている。この例では、各基板が測定ステーションと露光ステーションを訪れ、パターンが適用される。例えば、光学リソグラフィ装置では、投影システムを使用して、調整された放射及び投影システムを使用して、パターニングデバイスMAから基板に製品パターンを転写する。これは、放射線感受性レジスト材料の層にパターンの画像を形成することにより行われる。
本明細書で使用される「投影システム」という用語は、屈折、反射、反射屈折、磁気、電磁気、及び静電光学システム、又は使用される露光放射又は液浸液の使用や真空の使用など、その他の要因の場合に適切なそれらの組み合わせを含む、あらゆるタイプの投影システムを包含すると広く解釈されるべきである。パターニングMAデバイスは、パターニングデバイスによって透過又は反射される放射ビームにパターンを付与するマスク又はレチクルであってもよい。よく知られている動作モードには、ステッピングモードとスキャンモードがある。よく知られているように、投影システムは、さまざまな方法で基板及びパターニングデバイスの支持及び位置決めシステムと協力して、基板全体の多くのターゲット部分に所望のパターンを適用することができる。固定パターンを持つレチクルの代わりに、プログラム可能なパターニングデバイスを使用することができる。放射線は、例えば、深紫外線(DUV)又は極紫外線(EUV)波帯の電磁放射線を含んでもよい。本開示は、他のタイプのリソグラフィプロセス、例えばインプリントリソグラフィ、及び例えば電子ビームによる直接描画リソグラフィにも適用可能である。
リソグラフィ装置制御ユニットLACUは、様々なアクチュエータ及びセンサのすべての動き及び測定を制御し、装置に基板W及びレチクルMAを受け入れさせ、パターニング動作を実施させる。LACUは、装置の動作に関連する所望の計算を実施するための信号処理及び計算能力も含む。実際には、制御ユニットLACUは多くのサブユニットのシステムとして実現され、各サブユニットは、装置内のサブシステム又はコンポーネントのリアルタイムのデータ取得、処理、及び制御を処理する。
パターンが露光ステーションEXPで基板に適用される前に、基板は測定ステーションMEAで処理され、さまざまな準備ステップが実行される。準備ステップには、レベルセンサを使用して基板の表面高さをマッピングし、アライメントセンサを使用して基板上のアライメントマークの位置を測定することが含まれる。アライメントマークは、通常、規則的なグリッドパターンで配置される。ただし、マークの作成が不正確であり、処理中に発生する基板の変形により、マークは理想的なグリッドから外れる。従って、装置が非常に高い精度で正しい位置に製品の特徴を印刷する場合、基板の位置と向きの測定に加えて、実際にアライメントセンサは基板領域全体の多くのマークの位置を詳細に測定する必要がある。
リソグラフィ装置LAは、それぞれが制御ユニットLACUによって制御される位置決めシステムを備えた2つの基板テーブルを有する、いわゆるデュアルステージタイプのものであってもよい。1つの基板テーブル上の1つの基板が露光ステーションEXPで露光されている間に、別の基板を測定ステーションMEAで他の基板テーブルにロードして、さまざまな準備ステップを実行できる。従って、アライメントマークの測定は非常に時間がかかり、2つの基板テーブルを用意することで、装置のスループットを大幅に向上させることができる。位置センサIFが測定ステーション及び露光ステーションにある間、基板テーブルの位置を測定できない場合、両方のステーションで基板テーブルの位置を追跡できるようにするために、第2の位置センサが提供されてもよい。リソグラフィ装置LAが、2つの基板テーブルを有するいわゆるデュアルステージタイプである場合、露光ステーションと測定ステーションは、基板テーブルを交換できる別個の場所であり得る。ただし、これは可能な配置の1つに過ぎず、測定ステーションと露光ステーションをそれほど明確にする必要はない。例えば、前露光測定段階中に測定ステージが一時的に結合される単一の基板テーブルを有することが知られている。本開示は、どちらのタイプのシステムにも限定されない。
製造施設内で、装置100は、装置100によるパターニングのために感光性レジスト及び他のコーティングを基板Wに塗布するコーティング装置108(COA)も含む「リソセル」又は「リソクラスター」の一部を形成する。装置100の出力側には、露光されたパターンを物理的レジストパターンに現像するためのベーキング装置110及び現像装置112が設けられている。これらのすべての装置間で、基板ハンドリングシステムが基板を支持し、それらをある装置から次の装置に移す。「トラック」と総称されることが多いこれらの装置は、それ自体がリソグラフィ制御装置LACUを介してリソグラフィ装置を制御する監視制御システムSCSによって制御されるトラック制御装置の制御下にある。従って、異なる装置を操作して、スループットと処理効率を最大化できる。監視制御システムSCSは、各パターン基板を作成するために実行するステップの定義を詳細に提供するレシピ情報Rを受け取る。
リソセルでパターンが適用及び現像されると、パターン化された基板120は、122、124、126に示されているような他の処理装置に移される。広範囲の処理ステップが、典型的な製造施設のさまざまな装置によって実装されている。例示の目的として、この実施形態の装置122はエッチングステーション(ETC)であり、装置124はエッチング後熱アニーリングステップ(ANN)を実行する。さらなる物理的及び/又は化学的処理ステップは、さらなる装置126などに適用される。実際のデバイスを作成するには、材料の堆積(DEP)、表面材料特性の変更(酸化、ドーピング、イオン注入など)、化学機械研磨(CMP)など、多くの種類の操作が必要になる場合がある。装置126は、実際には、1つ以上の装置で実行される一連の異なる処理ステップを表してもよい。
よく知られているように、半導体デバイスの製造では、適切な材料とパターンを使用してデバイス構造を基板上に層ごとに構築するために、このような処理を何度も繰り返す。従って、リソクラスターに到着する基板130は、新たに準備された基板であってもよく、又はこのクラスタ又は別の装置全体で以前に処理された基板であってもよい。同様に、必要な処理に応じて、装置126を離れる基板132は、同じリソグラフィクラスタでの後続のパターニング動作のために戻されるか、異なるクラスタでのパターニング動作のために運ばれるか、又はダイシングとパッケージングのために送られる完成品であり得る。
製品構造の各層には、異なる一連のプロセスステップが必要であり、各層で使用される装置126はタイプが完全に異なっていてもよい。さらに、装置126によって適用される処理ステップが名目上同じ場合でも、大規模施設では、異なる基板上でステップ126を実行するために並行して動作するいくつかの同一と思われる機械があり得る。これらのマシン間のセットアップ又は障害のわずかな違いは、異なる基板に異なる方法で影響を与えることを意味する。エッチング(装置122)などの各層に比較的共通のステップでさえ、名目上は同一であるがスループットを最大化するために並行して動作するいくつかのエッチング装置によって実施することができる。実際には、さらに、異なる層は、エッチングされる材料の詳細に応じた異なるエッチングプロセス、例えば化学エッチング、プラズマエッチング、及び例えば異方性エッチングなどの特別な要件を必要とする。
前のプロセス及び/又は後続のプロセスは、前述のように他のリソグラフィ装置で実行されてもよく、異なるタイプのリソグラフィ装置で実行されてもよい。例えば、解像度やオーバーレイなどのパラメータが非常に要求されるデバイス製造プロセスの一部のレイヤーは、要求の少ない他のレイヤーよりも高度なリソグラフィーツールで実行できる。従って、一部の層は液浸型リソグラフィーツールで露光され、他の層は「ドライ」ツールで露光される。DUV波長で動作するツールで露光される層もあれば、EUV波長放射を使用して露光される層もある。
リソグラフィ装置によって露光される基板が正しく一貫して露光されるように、露光された基板を検査して、後続の層間のオーバーレイエラー、線の太さ、限界寸法(CD)などの特性を測定することが望ましい。従って、リソセルLCが配置される製造施設は、リソセルで処理された基板Wの一部又はすべてを受け取る計測システムMETも含む。計測結果は、直接又は間接的に監視制御システム(SCS)138に提供される。エラーが検出された場合、特に同じバッチの他の基板がまだ露光されるのに十分な速度で測定をすぐに実行できる場合、後続の基板の露光に対して調整が行われる可能性がある。また、すでに露光している基板は、歩留まりを改善するために剥がして再加工するか、廃棄することができ、それにより欠陥があることが知られている基板のさらなる処理の実行を回避する。基板の一部のターゲット部分のみに欠陥がある場合、良好なターゲット部分のみにさらされる。
図1には、製造プロセスの所望の段階で製品のパラメータの測定を行うために提供されるメトトロジ装置140(MET)も示されている。現代のリソグラフィ製造設備におけるメトトロジ装置の一般的な例は、散乱計、例えば角度分解散乱計又は分光散乱計であり、装置122でのエッチングの前に120で現像された基板の特性を測定するために適用され得る。メトトロジ装置140を使用して、例えば、オーバーレイ又はクリティカルディメンション(CD)などの重要な性能パラメータが、現像されたレジストの特定の精度要件を満たさないことが決定され得る。エッチングステップの前に、現像されたレジストを剥離し、リソクラスターを通して基板120を再処理する機会が存在する。これもよく知られているように、監視制御システムSCS及び/又は制御ユニットLACU106が経時的に小さな調整166を行うことにより、装置140からの計測結果142を使用して、リソクラスターのパターニング動作の正確な性能を維持でき、これにより製品が仕様から外れ、再作業が必要になるリスクを最小限に抑える。もちろん、メトトロジ装置140及び/又は他のメトトロジ装置(図示せず)を適用して、処理済み基板132、134、及び入ってくる基板130の特性を測定することができる。
従来、半導体メーカーはプロセススレッドを作成し、そのプロセススレッドでウェーハを保持しようとしていた。スレッドとは、ファブ内の一連のプロセスを進行するときにウェーハが処理される特定のツールのシーケンスである。その後、すべてのウェーハが一定のフィンガープリントを取得し、ロットごとの修正を行ってフィンガープリントを制御できる。最高のスループットを得るには、プロセスフローを一切使用しないことが最適である。実際には、すべてのウェーハを同じツールで処理することはできない。特に、エッチングツールの各チャンバを個別のツールと見なす場合は、ロット内のウェーハを、例えば6つのエッチングチャンバに分割できるため、それはできない。各チャンバはわずかに異なるフィンガープリントを引き起こす場合がある。例えば、スループットが非常に重要な半導体メモリデバイスの処理では、ロット内のさまざまなウェーハを、さまざまなエッチングツール及び1つのエッチングツールのさまざまなチャンバで処理できる。
本発明の実施形態は、個々のプロセスの性能パラメータ(例えば、エッチング後のオーバーレイ及びCDフィンガープリント)への寄与を切り離す。これは、多くの異なるスレッドについて得られたパフォーマンスパラメータの結果(フィンガープリント)を記録することによって行われる。パフォーマンスパラメータの結果(フィンガープリント)は、安定性プロセスよりも短い時間スケールで生成される。この方法は、統計的方法を使用して、パフォーマンスモデルのコンテキストを取得できる。例えば、スレッド:コートツール#1+スキャナーツール#3+エッチングツール#1+デポジットツール#12は、CD均一性最適化アプリケーションによって使用され、フィンガープリントを予測するパフォーマンスモデルCD(1,3,1,12)を取得する。
コンテキスト(ウェーハごとのスレッドなど)にさまざまなバリエーションがある場合、パフォーマンス測定(オーバーレイ/CDフィンガープリント)を特定のコンテキストパラメーター(ツールID(#)、ツールパラメーターなど)に関連付けることができる。特定のコンテキストから、リソグラフィプロセス全体の(エッチング後の)予測されたパフォーマンスを引き出すことができるコンテキストパフォーマンスモデルが作成される。このようなモデルの作成については、図2を参照して以下で説明する。
このようなコンテキストからパフォーマンスへのモデルは、後続のプロセスステップ(次のレイヤーなど)でプロセス(スキャナーやエッチングなど)を修正できるため、非常に有用である。
モデルが作成されると、ファブで進行中のウェーハ上のフィンガープリントを修正するために使用できる。ウェーハの前のスレッドを記録し、露光後プロセスを含むプロセスの寄与を予測すると、a)基板の履歴及びb)後の処理のCD/オーバーレイへの寄与を考慮して露光修正を計算することができる。
例えば、プロセスN-1までのコンテキストがわかっていれば、プロセスN+1によるオーバーレイフィンガープリントデルタを予測でき、次に、予測されたオーバーレイフィンガープリントデルタとプロセスN+M(エッチング)に適用される予想される修正に基づいてプロセスN(露光)を修正できる。
従って、プロセスごとに修正を適用する作動は、ウェーハの履歴と将来のプロセス特性に基づいて進化する。すべての修正はウェーハごとに行われ、ウェーハ間のばらつきが最小限に抑えられる。この戦略を採用すると、マルチツール修正の可能性を最大限に活用することができる(ウェーハごと、同様のコンテキストを持つウェーハのグループごと)。
図2は、製品ユニットの製造プロセスのシーケンスの記録された特性に関連付けられているパフォーマンスパラメータの測定結果の高レベルのフロー図を示す。図2及び3に示すこの例では、製品ユニットは半導体デバイスのウェーハである。プロセスの特性はコンテキストデータである。コンテキストデータは、ツール識別子や測定されたプロセスパラメーターなど、製品ユニットの処理に関する1つ以上のパラメータを表す。また、この例では、パフォーマンスパラメータの測定結果は測定されたフィンガープリントである。従って、性能パラメータは、ウェーハ全体で測定された1つ又は複数のパラメータを表すオブジェクトデータのウェーハ全体での変動のフィンガープリントを含む。
図2を参照すると、一連のプロセス202がウェーハ上で実行される。プロセスには、204で、例えば、化学機械研磨(CMP)、堆積(DEP)、熱アニール(ANN)及びレジストコート(COA)が含まれる。このプロセスには、206で、複数のスキャナーツールでの露光(EXP)、208で、複数のエッチングツール(異なるエッチングチャンバを含む)でのエッチング(ETC)、210で、CD及びオーバーレイ測定のエッチング後検査(AEI)などのメトロロジ(MET)が含まれる。これらのプロセスは、同じ参照ラベル(COA、EXP、ETCなど)を持つ図1に示されているプロセスに対応する。
セットアップアプリケーション212は、多くのウェーハ上で実行されるシーケンス202のプロセス204(例えば、CMP/DEP/ANN/COA)、206(EXP)、208(ETC)の記録された特性を取得する。例えば、堆積コンテキストはプロセス204から取得され、露光コンテキストはプロセス206から取得され、エッチングコンテキストはプロセス208から取得される。堆積フィンガープリントは、フィルムの厚さが利用できないため、本発明の用途の例として使用される。堆積されるアモルファスカーボン層は、ウェーハ全体及び堆積チャンバごとに厚さが異なってもよい。測定ツールは、屈折率(n)と消衰係数(k)の薄膜光学特性に関連する厚さと硬度を区別できない。
セットアップアプリケーション212は、コンテキストが記録された多くのウェーハから各ウェーハの性能パラメータの測定結果を取得する。例えば、エッチング後検査CD(AEI CD)は、メトトロジツール210から取得される。
セットアップアプリケーション212は、それぞれの記録されたコンテキストでAEI CDを取得する。例えば、これは、デポジション、スキャナ、及びエッチングのフィンガープリントを計算し、1つ又は複数のデータベースにコンテキストごとにフィンガープリントを保存することによって行われる。従って、214はCMP/DEP/ANN/COAコンテキストごとのCDフィンガープリントを含むデータベースであり、216は露光コンテキストごとのCDフィンガープリントを含むデータベースであり、218は露光コンテキストごとのエッチングチャンバーフィンガープリントを含むデータベースである。3つの個別のデータベースとして示されているが、1つのデータベース又はコンピューターメモリのマトリックスに格納するなど、他の適切なストレージスキームを使用してもよい。
図3は、図2のシーケンス202におけるウェーハの製造のための2つのプロセスに対する修正を決定及び適用する高レベルのフロー図を示している。修正の決定は、ウェーハ上で実行された前のプロセス304と実行される将来のプロセス306、308の両方の特性に基づく。
CD均一性(CDU)最適化アプリケーション320は、シーケンス302において既にウェーハに実行された前のプロセス304(例えば、DEP)の特性(例えば、堆積コンテキスト)を取得する。
CDU最適化アプリケーション320は、ウェーハ上で実行されるシーケンス302の後続プロセス306(EXP)の特性(露光コンテキスト)を取得する。この例では、エッチング最適化アプリケーション334は、ウェーハ上で実行されるシーケンス202の別の後続プロセス308(ETC)の特性(エッチングコンテキスト)も取得する。CDU最適化アプリケーション320は、使用可能ないくつかの露光及びエッチングツールのコンテキストを取得し、特定のツールセットを介してスケジュールされる可能性のあるいくつかの潜在的なプロセススレッドのフィンガープリントを予測することができる。修正は、予測されるフィンガープリントが最も少ない潜在的なプロセススレッドに対して決定できる。あるいは、潜在的なプロセススレッドごとに異なる修正を決定し、最も実用的又は効果的な修正を選択できる。
CDU最適化アプリケーション320は、取得された特性を使用して、前のプロセス304及び後続のプロセス306、308のシーケンスに関連付けられたウェーハの予測性能パラメータ(この例ではフィンガープリント)を決定する。この実施形態では、これは、取得されたコンテキストを使用して、記録されたコンテキストに対応する測定されたフィンガープリントを取得することにより行われる。次に、ウェーハ上で既に実行されているプロセスと、将来実行される後続のプロセスを考慮して、取得された測定フィンガープリントを組み合わせて、予測フィンガープリントを生成する。
この例では、CDU最適化アプリケーション320は、堆積コンテキストを使用して、データベース214を照会(320から214に向かう矢印で表される)する。クエリに応答して、堆積フィンガープリントがデータベース214から取得(214から320に戻る矢印で表される)される。
CDU最適化アプリケーション320は、露光コンテキストを使用して、データベース216を照会(320から216に向かう矢印によって表される)する。クエリに応じて、露光フィンガープリントがデータベース216から取得(216から320に戻る矢印で表される)される。
エッチング最適化アプリケーション334は、エッチングコンテキストを使用して、データベース218を照会(334から218に向かう矢印で表される)する。クエリに応じて、データベース218から露光フィンガープリントが取得(218から334に戻る矢印で表される)される。
CDU最適化アプリケーション320及びエッチング最適化アプリケーション334は別個のアプリケーションとして説明されているが、それらは1つのアプリケーションの一部であってもよく、又はそれ自体がいくつかのソフトウェアアプリケーション又はプログラムに分割されてもよい。
CDU最適化アプリケーション320は、前のプロセス304及び後続のプロセス306、308のシーケンス302に関連するウェーハの予測されたフィンガープリント(すなわち、性能パラメータ)を決定する。アプリケーション320は、堆積フィンガープリント322及び露光フィンガープリント330を評価する。予測されたフィンガープリントは、堆積フィンガープリントと露光フィンガープリントの線形組み合わせ324である。予測されたフィンガープリントは、線量感度332と組み合わされ(326)、スキャナ線量修正が計算される(328)。このようにして、CDU最適化アプリケーション320は、決定された予測フィンガープリントに基づいて、ウェーハ上で実行されるシーケンス302内の第1将来プロセス306(EXP)に対する第1修正を決定する。修正は、320から306に向かう矢印で表されるように、ウェーハがそのプロセスにさらされたときに露光プロセス306に適用される。
エッチング最適化アプリケーション334は、前のプロセス304及び後続のプロセス306、308のシーケンス302に関連するウェーハの予測されたフィンガープリント(すなわち、性能パラメータ)を決定する。アプリケーション334は、エッチングフィンガープリント336を評価する。予測されたフィンガープリントは、将来のエッチングプロセスのための修正338を計算するために使用される。このようにして、エッチング最適化アプリケーション334は、決定された予測されたフィンガープリントに基づいて、ウェーハ上で実行されるシーケンスの最初の将来プロセス(EXP)に続く第2の将来プロセス308(ETC)に対する第2の修正を決定する。334から308に向かう矢印によって表されるように、エッチングレシピをエッチングツールに送信することにより、ウェーハがそのプロセスにかけられるとき、修正がエッチングプロセス308に適用される。
図4は、本発明の実施形態による、製品ユニットの製造のための一連のプロセスを最適化する方法のフローチャートである。
図4に加えて図2及び3を参照すると、この方法には以下のステップがある:
402:メトトロジツール210から、多くのウェーハに実行されたプロセス204(例:CMP/DEP/ANN/COA)、206(EXP)、208(ETC)で記録されたコンテキストを取得する。
404:メトロロジツール210から、多くのウェーハのうちの各ウェーハの測定されたフィンガープリント(すなわち、性能パラメータの測定結果)を取得する。
406:測定されたフィンガープリントをそれぞれの記録されたコンテキストに関連付ける。ステップ402~406は、図2に関連して上記で説明されている。結果は、データベース214、216、218である。
408:ウェーハに既に実行されているシーケンスの前のプロセス304のコンテキストを取得する(例:DEP)。
410:ウェーハ上で実行されるシーケンス内の1つ又は複数の後続プロセス306、308(EXP、ETC)のコンテキストを取得する。
412:得られたコンテキストを使用して、前後のプロセスのシーケンスに関連付けられたウェーハの予測されたフィンガープリントを決定する。この実施形態では、これは、取得されたコンテキストを使用して、記録されたコンテキストに対応する測定されたフィンガープリントを取得することにより行われる。このステップは、シーケンス内のプロセスの複数のコンテキストのフィンガープリントの統計分析からモデルを導出することを含み得る。
414:決定された予測されたフィンガープリントに基づいて、ウェーハ上で実行されるシーケンス302内の将来のプロセス306(EXP)に対する第1の修正328を決定する。
416:この例では、決定された予測フィンガープリントに基づいて、ウェーハ上で実行されるシーケンス302で、第1の将来プロセス306(EXP)に続く第2の将来プロセス308(ETC)に対する第2の修正338を決定する。第1の修正328は、第2の将来のプロセス308(ETC)に関連する予測フィンガープリントの複数のツール(例えば平均)にわたる変動に関係し、第2の修正338は特定のツール308(例えばエッチングチャンバ)の変動に関係する。従って、修正は、個々のツールレベル(ここではスキャナとエッチャーを使用)で最適に分散された修正に基づいている。例えば、スキャナは平均エッチングフィンガープリントを修正するが、エッチャーの修正はチャンバ間の変動をゼロに近づける。
418:決定された第1の修正328及び第2の修正338をウェーハ上のシーケンスのプロセス306、308に適用する。ステップ408~418は、図3に関連して上述されている。
スキャナとエッチャーの間で修正を分割することの利点は、より多くの自由度が得られることである。エッチング段階と前のスキャナ段階の両方で特定のフィンガープリントを補償することは有利である。最後のステップであるエッチャーを補償することもできるが、これらのフィンガープリントの一部はエッチャーでは補償できないことがわかる。エッチャーは何を補償でき、スキャナは何を補償できるかを検討する必要がある。スキャナにはほとんどの自由度がある。特定のフィンガープリントについては、エッチャーが補償に優れている。幸いなことに、修正されるフィンガープリントの種類を引き起こしているのは、エッチャーでもある。エッチャーが引き起こしているフィンガープリントがわかっている場合、それはエッチャーでのフィンガープリントを修正でき、フィンガープリントはゼロになる傾向がある。
エッチャーを作動させる例は、エッチチャックの温度プロファイルを変更することである。CDの場合、チャックに温度プロファイルを設定している。オーバーレイの場合、外側のリングを上下に移動させる。これは、ウェーハのエッジでの電界の方向に影響する。従って、事実上、ウェーハのエッジはリングで延長され、これもエッチングされる。リングの高さは、ウェーハの上部に合わせて調整できる。リングを上下に動かすと、ウェーハのエッジのオーバーレイが影響を受ける。
従って、実施形態は、スキャナ及びエッチングツールの両方をアクチュエータとして使用し、特定のウェーハルーティングを必要とせずにエッチング後のフィンガープリントを最小化するように、個々の作動努力を共同最適化する。例えば。平均的なエッチャーの寄与は、スキャナの作動時に考慮される。エッチングプロセスをどれだけ変更できるかという制約により、エッチャーの作動が制限要因となり、スキャナでの平均エッチャーの寄与が考慮される場合、エッチャーは、平均エッチング寄与に対するチャンバ依存デルタのみを修正すればよい。
実施形態は、層の厚さを明示的に測定することなく、エッチング後のCDに関する堆積ツール層の厚さの変化を推定することを可能にする。
粒度の修正は、ロットレベルではなくウェーハレベルで可能になる。
実施形態は、エッチャー、CMP、RTA、レジストスピン、コートなどの複数のファブ処理ツールからのコンテキスト情報を使用する。これらのツールによってレジスト層に生成されたオーバーレイフィンガープリントは、フィンガープリントの原因となっているツール又はツールチャンバーごとに正確に測定できる。
実施形態の利点には、より高い歩留まり、利用可能な情報の効率的な集約による計測時間の短縮、より効率的な作動、及び専用のウェーハルーティングの必要性が含まれる。
このアプローチのさらなる利点は、専用のウェーハルーティングの必要がないことである。
本発明の実施形態は、上述のように、製品ユニットの製造プロセスのシーケンスを最適化する方法を記述する機械可読命令の1つ以上のシーケンスを含むコンピュータープログラムを使用して実装され得る。このコンピュータープログラムは、図1の制御ユニットLACU又は他の何らかのコントローラなどのコンピューティング装置内で実行することができる。そのようなコンピュータープログラムが記憶されたデータ記憶媒体(例えば、半導体メモリ、磁気又は光ディスク)も提供され得る。
本開示のさらなる実施形態は、以下の番号付き条項のリストに開示されている:
1.製品ユニットの製造のためのプロセスのシーケンスを最適化するための方法であって、
(a)製品ユニット上で既に実行された前記シーケンス内の前のプロセスの特性を取得することと(408)、
(b)前記製品ユニット上で実行される前記シーケンス内の後続プロセスの特性を取得することと(410)、
(c)取得された特性を使用して、前記シーケンスの前記前のプロセス及び前記後続プロセスに関連付けられた前記製品ユニットの予測性能パラメータを決定することと(412)、
(d)決定された予測性能パラメータに基づいて、前記製品ユニット上で実行される前記シーケンス内の第1の将来のプロセスに対する第1の修正を決定することと(414)、を含む方法。
2.前記予測性能パラメータを決定するステップ(c)は、前記シーケンス内のプロセスの複数の特性に対する性能パラメータの複数の測定結果の統計分析からモデルを導出することを含む、条項1に記載の方法。
3.前記予測性能パラメータを決定するステップ(c)は、
(c1)複数の製品ユニット上で実行される前記シーケンス内のプロセスの記録された特性を取得するステップと(402)、
(c2)前記複数の製品ユニットから各製品ユニットの性能パラメータの測定結果を取得するステップと(404)、
(c3)前記性能パラメータの測定結果をそれぞれの記録された特性に関連付けるステップと(406)、
(c4)前記取得された特性を使用して、前記記録された特性に対応する前記性能パラメータの測定結果を取得することにより、前記シーケンスの前記前のプロセス及び前記後続プロセスに関連付けられた前記製品ユニットの前記予測性能パラメータを決定するステップと(412)、を含む、条項1に記載の方法。
4.前記特性は、製品ユニットの処理の1つ以上のパラメータを表すコンテキストデータを含む、条項1~3のいずれかに記載の方法。
5.前記性能パラメータは、製品ユニットにわたって測定された1つ以上のパラメータを表すオブジェクトデータの製品ユニットにわたる変動のフィンガープリントを含む、条項1~4のいずれかに記載の方法。
6.前記製品ユニット上の前記シーケンス内のプロセスに、決定された第1の修正を適用するステップをさらに含む、条項1~5のいずれかに記載の方法。
7.決定された予測性能パラメータに基づいて、前記製品ユニット上で実行される前記シーケンス内の第2の将来のプロセスに対する第2の修正を決定するステップ(416)をさらに含む、条項1~6のいずれかに記載の方法。
8.前記製品ユニット上の前記シーケンス内のプロセスに、決定された第2の修正を適用するステップをさらに含む、条項7に記載の方法。
9.前記第1の修正は、前記第2の将来のプロセスに関連する前記決定された予測性能パラメータの複数のツールにわたる変動に関連し、
前記第2の修正は、特定のツールの変動に関連する、条項7又は8に記載の方法。
10.製品ユニットが基板である、条項1~9のいずれかに記載の方法。
11.前記前のプロセスは、プロセス:化学機械研磨、堆積、熱アニール、及びレジストコートから選択される製品ユニットに対するプロセスを含む、条項1~10のいずれかに記載の方法。
12.前記第1又は第2の将来のプロセスは、製品ユニットの露光を含む、条項1~11のいずれかに記載の方法。
13.前記第1又は第2の将来のプロセスは、製品ユニットのエッチングを含む、条項1~12のいずれかに記載の方法。
14.適切なコンピュータ装置で実行されると、コンピュータ装置に条項1~13のいずれかに記載の方法を実行させるコンピュータ可読命令を含むコンピュータープログラム。
15.条項14に記載のコンピュータープログラムを含むコンピュータープログラム製品。
この制御ユニットLACUには、図5に示すコンピュータアセンブリを含めることができる。コンピュータアセンブリは、本発明による装置の実施形態における制御ユニットの形態の専用コンピュータであってもよく、あるいは、リソグラフィ装置を制御する中央コンピュータであってもよい。コンピュータアセンブリは、コンピュータ実行可能コードを含むコンピュータープログラム製品をロードするために配置されてもよい。これにより、コンピュータープログラム製品がダウンロードされるときに、コンピュータアセンブリが、レベルセンサ及びアライメントセンサAS、LSの実施形態を備えたリソグラフィ装置の前述の使用を制御することが可能になり得る。
プロセッサ527に接続されたメモリ529は、ハードディスク561、読み取り専用メモリ(ROM)562、電気的消去可能プログラマブル読み取り専用メモリ(EEPROM)563、及びランダムアクセスメモリ(RAM)564などのいくつかのメモリコンポーネントを備えてもよい。前述のすべてのメモリコンポーネントが存在する必要はない。さらに、前述のメモリコンポーネントがプロセッサ527又は互いに物理的に近接していることは必須ではない。それらは離れた場所にある場合がある。
プロセッサ527はまた、例えばキーボード565又はマウス566などのある種のユーザインターフェースに接続されてもよい。タッチスクリーン、トラックボール、音声変換器、又は当業者に知られている他のインターフェースも使用することができる。
プロセッサ527は、読み取りユニット567に接続することができ、読み取りユニット567は、例えば、データを読み取るように構成される。コンピュータ実行可能コードの形式で、状況によっては、ソリッドステートドライブ568やCDROM569などのデータキャリアにデータを保存する。また、当業者に知られているDVD又は他のデータキャリアを使用してもよい。
プロセッサ527は、紙に出力データを印刷するためにプリンタ570に接続されてもよく、ディスプレイ571、例えば、当該分野の当業者に知られている他のタイプのディスプレイのモニタ又はLCD(液晶ディスプレイ)に接続されてもよい。
プロセッサ527は、入力/出力(I/O)に関与する送信機/受信機573により、例えば公衆交換電話網(PSTN)、ローカルエリアネットワーク(LAN)、ワイドエリアネットワーク(WAN)などの通信ネットワーク572に接続されてもよい。プロセッサ527は、通信ネットワーク572を介して他の通信システムと通信するように構成されてもよい。本発明の一実施形態では、外部オペレータ(図示せず)、例えばオペレータのパーソナルコンピュータは、通信ネットワーク572を介してプロセッサ527にログインすることができる。
プロセッサ527は、独立したシステムとして、又は並列に動作する多数の処理ユニットとして実装することができ、各処理ユニットは、より大きなプログラムのサブタスクを実行するように構成される。処理ユニットは、いくつかのサブ処理ユニットを備えた1つ又は複数のメイン処理ユニットに分割することもできる。プロセッサ527のいくつかの処理ユニットは、他の処理ユニットから距離を置いて配置されてもよく、通信ネットワーク572を介して通信してもよい。モジュール間の接続は、有線又は無線で行うことができる。
コンピュータシステムは、ここで説明した機能を実行するように配置されたアナログ及び/又はデジタル及び/又はソフトウェア技術を備えた任意の信号処理システムであり得る。
特定の実施形態の前述の説明は、本発明の一般的性質を十分に明らかにするので、当業者は、当業者が、そのような特定の実施形態の様々な用途に、過度の実験なしに、本発明の一般的な概念から逸脱することなく容易に修正及び/又は適応できる。従って、そのような適応及び変更は、本明細書に提示された教示及びガイダンスに基づいて、開示された実施形態の等価物の意味及び範囲内にあることを意図している。本明細書の用語又は用語は、本明細書の用語又は用語が教示及びガイダンスに照らして当業者によって解釈されるように、限定ではなく例による説明の目的のためであることを理解されたい。
本発明の広さ及び範囲は、上記の例示的な実施形態のいずれによっても限定されるべきではなく、添付の特許請求の範囲及びそれらの均等物に従ってのみ定義されるべきである。

Claims (15)

  1. 製品ユニットの製造のためのプロセスのシーケンスを最適化するための方法であって、製品ユニットは半導体製造プロセスにおける基板を備え、前記方法は、
    (a)製品ユニット上で既に実行された前記シーケンス内の前のプロセスの特性を取得することと(408)、
    (b)前記前のプロセスに後続して実行される前記シーケンス内の後続プロセスが前記製品ユニット上で実行される前に、前記後続プロセスの特性を取得することと(410)、
    (c)ステップ(a)および(b)から取得された特性を使用して、前記シーケンスの前記前のプロセス及び前記後続プロセスに関連付けられた前記製品ユニットの予測性能パラメータを決定することと(412)、
    (d)決定された予測性能パラメータに基づいて、前記製品ユニット上で実行される前記シーケンス内の第1の将来のプロセスに対する第1の修正を決定することと(414)、を含む方法。
  2. 前記予測性能パラメータを決定するステップ(c)は、前記シーケンス内のプロセスの複数の特性に対する性能パラメータの複数の測定結果の統計分析からモデルを導出することを含む、請求項1に記載の方法。
  3. 前記予測性能パラメータを決定するステップ(c)は、
    (c1)複数の製品ユニット上で実行される前記シーケンス内のプロセスの記録された特性を取得するステップと(402)、
    (c2)前記複数の製品ユニットから各製品ユニットの性能パラメータの測定結果を取得するステップと(404)、
    (c3)前記性能パラメータの測定結果をそれぞれの記録された特性に関連付けるステップと(406)、
    (c4)前記取得された特性を使用して、前記記録された特性に対応する前記性能パラメータの測定結果を取得することにより、前記シーケンスの前記前のプロセス及び前記後続プロセスに関連付けられた前記製品ユニットの前記予測性能パラメータを決定するステップと(412)、を含む、請求項1に記載の方法。
  4. 前記取得された特性は、製品ユニットの処理の1つ以上のパラメータを表すコンテキストデータを含む、請求項1に記載の方法。
  5. 前記予測性能パラメータは、製品ユニットにわたって測定された1つ以上のパラメータを表すオブジェクトデータの製品ユニットにわたる変動のフィンガープリントを含む、請求項1に記載の方法。
  6. 前記製品ユニット上で実行される前記シーケンス内のプロセスに、決定された第1の修正を適用するステップをさらに含む、請求項1から5のいずれかに記載の方法。
  7. 決定された予測性能パラメータに基づいて、前記製品ユニット上で実行される前記シーケンス内の第2の将来のプロセスに対する第2の修正を決定するステップ(416)をさらに含む、請求項1から6のいずれかに記載の方法。
  8. 前記製品ユニット上で実行される前記シーケンス内のプロセスに、決定された第2の修正を適用するステップをさらに含む、請求項7に記載の方法。
  9. 前記第1の修正は、前記第2の将来のプロセスに関連する前記決定された予測性能パラメータの複数のツールにわたる変動に関連し、
    前記第2の修正は、特定のツールの変動に関連する、請求項7又は8に記載の方法。
  10. 製品ユニットが基板である、請求項1に記載の方法。
  11. 前記前のプロセスは、プロセス:化学機械研磨、堆積、熱アニール、及びレジストコートから選択される製品ユニットに対するプロセスを含む、請求項1に記載の方法。
  12. 前記第1又は第2の将来のプロセスは、製品ユニットの露光を含む、請求項7に記載の方法。
  13. 前記第1又は第2の将来のプロセスは、製品ユニットのエッチングを含む、請求項7に記載の方法。
  14. 適切なコンピュータ装置で実行されると、コンピュータ装置に請求項1から13のいずれかに記載の方法を実行させるコンピュータ可読命令を含むコンピュータープログラム。
  15. 請求項14に記載のコンピュータープログラムを含むコンピュータープログラム記憶媒体。
JP2023010958A 2017-04-28 2023-01-27 製品ユニットの製造プロセスのシーケンスの最適化 Pending JP2023052695A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17168734.6 2017-04-28
EP17168734 2017-04-28
JP2021188545A JP2022016547A (ja) 2017-04-28 2021-11-19 製品ユニットの製造プロセスのシーケンスの最適化

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021188545A Division JP2022016547A (ja) 2017-04-28 2021-11-19 製品ユニットの製造プロセスのシーケンスの最適化

Publications (1)

Publication Number Publication Date
JP2023052695A true JP2023052695A (ja) 2023-04-11

Family

ID=58671411

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019558581A Pending JP2020519932A (ja) 2017-04-28 2018-03-28 製品ユニットの製造プロセスのシーケンスの最適化
JP2021188545A Pending JP2022016547A (ja) 2017-04-28 2021-11-19 製品ユニットの製造プロセスのシーケンスの最適化
JP2023010958A Pending JP2023052695A (ja) 2017-04-28 2023-01-27 製品ユニットの製造プロセスのシーケンスの最適化

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2019558581A Pending JP2020519932A (ja) 2017-04-28 2018-03-28 製品ユニットの製造プロセスのシーケンスの最適化
JP2021188545A Pending JP2022016547A (ja) 2017-04-28 2021-11-19 製品ユニットの製造プロセスのシーケンスの最適化

Country Status (6)

Country Link
US (2) US11106141B2 (ja)
JP (3) JP2020519932A (ja)
KR (2) KR102451504B1 (ja)
CN (2) CN110546576B (ja)
TW (2) TW202016659A (ja)
WO (1) WO2018197144A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11106141B2 (en) * 2017-04-28 2021-08-31 Asml Netherlands B.V. Optimizing a sequence of processes for manufacturing of product units
DE112018008256B3 (de) * 2017-06-22 2023-08-31 Asml Netherlands B.V. Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Parameterfingerabdruck, System und Computerprogrammprodukt
EP3767391A1 (en) * 2019-07-17 2021-01-20 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
US20220244649A1 (en) * 2019-07-04 2022-08-04 Asml Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
JP7482651B2 (ja) * 2020-03-04 2024-05-14 キヤノン株式会社 情報処理装置、監視方法、プログラムおよび物品製造方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11260683A (ja) * 1998-03-10 1999-09-24 Sony Corp 半導体材料の製造工程における露光条件の決定方法、及び半導体材料の製造装置
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US6759112B2 (en) * 2000-12-30 2004-07-06 Intel Corporation Exposed and embedded overlay structure
JP2004524627A (ja) * 2001-03-26 2004-08-12 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ マルチメディア・アイテムの格納
DE60133452T2 (de) * 2001-04-27 2009-10-01 Motorola, Inc., Schaumburg Verfahren zur Justierung von Verarbeitungsparametern plattenförmiger Gegenstände in einer Verarbeitungsvorrichtung
EP1512112A4 (en) * 2002-06-05 2006-11-02 Kla Tencor Tech Corp USE OF OVERLAY DIAGNOSIS FOR ADVANCED AUTOMATIC PROCESS CONTROL
JP2004311584A (ja) * 2003-04-03 2004-11-04 Renesas Technology Corp 重ね合わせ補正量算出装置
US7065423B2 (en) 2004-07-08 2006-06-20 Timbre Technologies, Inc. Optical metrology model optimization for process control
JP2007305655A (ja) * 2006-05-09 2007-11-22 Matsushita Electric Ind Co Ltd 半導体装置の製造工程の制御方法
JPWO2009110366A1 (ja) * 2008-03-07 2011-07-14 東京エレクトロン株式会社 プラズマ処理装置
JP2010118404A (ja) 2008-11-11 2010-05-27 Sharp Corp プロセス制御システム
JP2010141063A (ja) * 2008-12-11 2010-06-24 Panasonic Corp 半導体基板の露光方法及び半導体装置製造システム
US8214771B2 (en) 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
JP2010258356A (ja) * 2009-04-28 2010-11-11 Renesas Electronics Corp 半導体装置の製造方法
CN102054074B (zh) 2009-10-30 2015-06-24 新思科技有限公司 后绕线布局的光刻热点的更正方法及系统
US8631379B2 (en) 2010-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decomposing integrated circuit layout
JP2013135044A (ja) * 2011-12-26 2013-07-08 Hitachi High-Technologies Corp 半導体製造装置
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20140214192A1 (en) 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US9715180B2 (en) 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
KR102124111B1 (ko) * 2013-10-02 2020-06-18 에이에스엠엘 네델란즈 비.브이. 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
US10627723B2 (en) 2013-12-17 2020-04-21 Asml Netherlands B.V. Yield estimation and control
US8938695B1 (en) 2014-01-09 2015-01-20 Dmo Systems Limited Signature analytics for improving lithographic process of manufacturing semiconductor devices
US9612526B2 (en) 2014-08-28 2017-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photomask and method for fabricating integrated circuit
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9703912B2 (en) 2015-03-10 2017-07-11 Kabushiki Kaisha Toshiba Mask set, fabrication method of mask set, manufacturing method of semiconductor device, and recording medium
US9547745B1 (en) 2015-07-27 2017-01-17 Dmo Systems Limited System and method for discovering unknown problematic patterns in chip design layout for semiconductor manufacturing
KR20180066147A (ko) * 2015-10-08 2018-06-18 에이에스엠엘 네델란즈 비.브이. 산업 공정을 제어하는 장치 및 방법들
JP2017078755A (ja) * 2015-10-19 2017-04-27 キヤノン株式会社 パターン形成方法、および物品の製造方法
US11106141B2 (en) * 2017-04-28 2021-08-31 Asml Netherlands B.V. Optimizing a sequence of processes for manufacturing of product units
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process

Also Published As

Publication number Publication date
US20210389684A1 (en) 2021-12-16
JP2020519932A (ja) 2020-07-02
CN110546576B (zh) 2021-09-10
WO2018197144A1 (en) 2018-11-01
TW202016659A (zh) 2020-05-01
CN110546576A (zh) 2019-12-06
TW201842400A (zh) 2018-12-01
TWI667532B (zh) 2019-08-01
KR102451504B1 (ko) 2022-10-06
US11442367B2 (en) 2022-09-13
US20200026200A1 (en) 2020-01-23
CN113741155A (zh) 2021-12-03
JP2022016547A (ja) 2022-01-21
US11106141B2 (en) 2021-08-31
KR20220138019A (ko) 2022-10-12
KR102580686B1 (ko) 2023-09-21
KR20190142385A (ko) 2019-12-26

Similar Documents

Publication Publication Date Title
EP3382606A1 (en) Optimizing an apparatus for multi-stage processing of product units
JP2023052695A (ja) 製品ユニットの製造プロセスのシーケンスの最適化
US20210349402A1 (en) Method and apparatus for optimization of lithographic process
US11099485B2 (en) Maintaining a set of process fingerprints
TWI630465B (zh) 計算用於控制製程的修正之方法、度量衡設備、元件製造方法、及模型化方法
TWI654499B (zh) 判定特徵之位置之方法、系統及電腦程式產品
US20220244649A1 (en) Sub-field control of a lithographic process and associated apparatus
EP3767391A1 (en) Sub-field control of a lithographic process and associated apparatus
TWI803728B (zh) 判定用於微影製程的控制參數之方法及設備、包含指令之電腦程式、度量衡設備及微影設備
CN112585538B (zh) 用于控制制造过程的方法及相关联的设备
CN117813555A (zh) 用于对衬底区域上的测量数据进行建模的方法及相关联的设备
CN112585538A (zh) 用于控制制造过程的方法及相关联的设备

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240123

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240416