TW512410B - Processing chamber with multi-layer brazed lid - Google Patents

Processing chamber with multi-layer brazed lid Download PDF

Info

Publication number
TW512410B
TW512410B TW090122797A TW90122797A TW512410B TW 512410 B TW512410 B TW 512410B TW 090122797 A TW090122797 A TW 090122797A TW 90122797 A TW90122797 A TW 90122797A TW 512410 B TW512410 B TW 512410B
Authority
TW
Taiwan
Prior art keywords
plate
gas
cover assembly
channel
patent application
Prior art date
Application number
TW090122797A
Other languages
English (en)
Inventor
Sal Umotoy
Be Van Vo
Son Ngoc Trinh
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW512410B publication Critical patent/TW512410B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)

Description

經濟部智慧財產局員工消費合作社印製 月 ι( 曰 發明說明( 登明々: 本發明係關於積體電路的製造。更特定地,本發明提 供用=控制溫度,保持真空完整性及方便一半導體處理室 的蓋件組件的維修的方法與設備。 發明 鬲密度積體電路,一般被稱為VLSI元件,典型地藉 由讓晶圓接受數個沉積’罩幕’換雜及蝕刻處理:被形: :半導體晶圓上。晶圓被置於一處理室内的—托盤或支撐 件的上表面上,及處理氣體’如六氟化鎢及矽&,被輸送 至該處理室中用以在該晶圓上進行*同的沉積步驟。典型 地,處理氣體被導引通過一歧管且在該歧管頭内的一水冷 式氣租屍合至中相混合。此冷卻通常是必要的因為在高於 一溫度門檻值之上的溫度下,處理氣體會起反應而形成一 固態的沉電物其會沉積於歧管頭的壁上。在冷卻的歧管頭 内混合之後,氣體混合物被輸送通過一蓋件組件其包括一 私多個氣體分佈板用來將一均勻分佈的氣體混合物輸送 至該沉積室内及晶圓上。 在處理期間,該蓋件組件的氣體分佈板子(即,氣體 分佈板或蓮蓬頭及氣體散佈板或擋板)接受來自於在該處 理室中之被加熱的托盤及被加熱的晶圓的熱。因此,該等 氣體分佈板將流經的氣體加熱。如果這些板子到達一溫度 門播值的話,通過該氣體分薄系統的處理氣體會起反應並 沉積’阻塞板子的氣體分佈孔。此外,一沉積層會形成於 第頂 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公爱) 512410 經濟部智慧財產局員工消費合作社印製 五、發明説明(
該板子的内及/或外表面上,而於稍後剝落成為大顆粒污 染物並落在晶圓上產生一不均勻的沉積層’進而污染該晶 圓。 該蓋件組件的氣體分佈板典型地係被機械式地輕合 至一氣體注入蓋板及一安裝板上,而它們則是固定在一用 來安裝至該處理室的基板上。介於這些構件之間的界面通 常是用一氣體密封件(如〇形環)所密封,用以在整個蓋件 組件上形成一真空密封。然而,在該蓋件組件的組裝期 間’很難精確地將氣體密封件與板子上之對應溝槽對準。 此外’氣體密封件表面及溝槽會在組裝期間受到損壞。一 未被精確地安裝的氣體密封件或一已經在安裝期間受損 的氣體密封件會造成洩漏。此洩漏會讓氣體在處理期間通 過該蓋件組件,因而破壞在該處理室内之所欲保持的壓 力。此所想要的壓力的破壞(其程度通常為l—2mTorr)將會 對半導體晶圓上的沉積沉積度會造成不利的影響。再者, 一洩漏會讓所不想要的大氣壓力進入該系統,造成不良的 膜層沉積品質,如一霧化的膜層。因此,氣體密封件必需 、皮L理或被再女裝’或當該蓋件組件的構件的真空完整性 已被破壞時整個蓋件組件必需被更換。蓋件組件之頻繁的 再安裝,修理或更換會增加晶圓的製造成本及該處理設備 的停機時間,這會降低該處理的產出率並進一步增加晶圓 的製造成本。 因此,在半導體製造工業中所需要的是一種用於一晶 圓處理設#中之&良的蓋件組件。提供一冑精巧設計的蓋 第6頁 本紙張尺度it财關家標準(CNS)A4祕(2iGX29_7公楚)_ 請 閲 讀 背‘ 面 之 注 意 事 項 再 填 寫 本 頁 f 訂 五、發明説明() 件組件用以方便使用一微波產生源及/或一遠端的電漿清 潔組件更靠近地耦合至該蓋件組件是所想要的。提供該蓋 件組件之更精確的溫度控制及冷卻並提供均勻的處理氣 體更是所想要的。 iii目的及概沭: 本發明提供一種範例性的蓋件組件設備,其尺寸很精 巧’可提供低的組裝成本及易於維修,且能夠將一微波源 或运端電漿清潔單元直接安裝在該蓋件組件之上用以提 供與電漿清潔有關之處理一改良的性能。本發明更提供形 成積體電路的方法及具有本發明的蓋件組件之半導體處 理室的操作方法’其包括使用蓋件組件作為範例處理及/ 或鈍氣分佈在内。 本發明的蓋件組件可使用在2 0 0mm,30 0mm及類此者 的晶圓處理設備上。在一實施例中,該蓋件組件包括三塊 板子’最好是Is板’其被銲接或熔接在一起同以形成一完 王整合的蓋件组件。板子數目不同,如兩塊板子,四塊板 子’或更多塊,亦可依據本發明來加以使用。該蓋件組件 包括形成於其内的氣體管路及冷媒通道。其結果為,本發 月可以省掉使用繞在目前蓋件組件上的石英氣體輸送管 的需要。 在本發明的一實施例中,一種用來密封一基材處理室 之整合的蓋件組件包括第一及第三板子其被耦合至位在 它們之間的第二板子上。在一態樣中該等板子是被熔接或 第頂 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ..............餐: (請先閱讀r面之注意事項再填寫本頁) 訂· 經濟部智慧財產局員工消費合作社印製 512410 五、發明説明( 年彳月//日 修;£|據无j 鲜接在一起的。第一及第二板子 _ 极卞界疋—流體通道於其内, ^ 〃第—板子界疋—氣體輸送通道於其内。該流體 (請先閱讀#·面之注意事項再填寫本頁) 通道可以是用來加熱或用來冷卻流體。第一板子具有一大 致平的表面用以輕合至一處理室’及第三板子具有一大致 千面的表面用以耗合至一微波產生裝置或_遠端電漿清 潔裝置。以此方式,該蓋件組件的尺寸為很小,且與巨大 的蓋件組件比起來其有㈣_微波裝置被安裝得更靠近 該處理室。 β在另一實施例中,一蓋件組件包括一多層銲接的板子 其是由兩片或多片板子所形成。該銲接的板子具有大致平 面的上及下表面。-冷媒通道及—4體通道分別被形成於 構成該銲接的板子之各板子之相對的匹配表面上。 在一態樣中’該等冷媒及/或流體通道被形成於一第 板子表面上,其與一第二板子表面的一大致平面部分相 匹配。在此實施例中,通道可具有一大致半圓形或相似的 截面。在另一態樣中,該等冷媒及/或流體通道被形成於 被安裝在一起之兩個相對的板子表面上。在此一實施例 中’通道可具有一圓形或類似的截面。 經濟部智慧財產局員工消費合作社印製 在一實施例中,該基材處理室更包含一微波產生器或 退^0電装/月潔組件其被安裝在該第三板子大致平面的上 表面上。在另一實施例中,該處理室更包含一氣體分佈板 其可取下地安裝於該第一板上。該氣體分佈板界定一或多 個穿其間之氣體分佈孔用以與該室相聯通。 在另一實施例中,一根據本發明的蓋件組件包括一第 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 年吁月//π 修止 發明説明( (請先閲讀t·面之注意事項再填寫本頁) 板其具有第一及電二間隔開來的表面並在它們界定一 厚度。該第二表面具有一通道,如一婉蜒的通道,形成於 其内並耦合至一入口及一出口。一第二板子被耦合至該第 二表面。一第三板子(其具有一第一通道形成於其内)耦合 至該第二板子用以流體地密封該第一通道。 在一態樣中,該通道入口及出口耦合至一流體源,其 可為一冷卻或加熱流體源。在另一態樣中,第一通道耦合 至一氣體源。在另一態樣中,一第二通道被形成於該第三 板子中。第二通道至少部分地與第一通道間隔開β以此方 式’兩種不同的氣體可通過分離的通道進入到該處理室 中。 在一態樣中,第一及第二板子都具有一孔通過其中, 此等孔彼此對準且與第三板子的第一通道出口對準。以此 方式,氣體可從第一通道經過第一及第二板子到達該處理 室。在一態樣中,一氣體驅散板及/或一氣體散佈板被可 取下地安裝於該蓋件組件上,安裝於第一板子上。 經濟部智慧財產局員工消費合作社印製 在本發明的一實施例中,一基材處理室蓋件組件包括 一多層銲接的板子其由一兩塊或多塊板子形成。該多層銲 接的板子包括多個間隔開來的氣體注入孔其被建構成可 輸送氣體至一處理室,多個氣體通道每一通道都搞合至少 一注入孔,及一通道其形成於該銲接的板子中且被建構成 可耦合至一流體源。該流體源可包含一冷卻或加熱流體。 在一癌樣中’該等氣體注入孔以一架構被間隔於該鮮 接的板子的一表面上用以將氣體以一所想要的圖案散佈 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 、發明說明() 體 再另怒樣中,至少一氣體通道被耦合至一清洗氣 及該等氣體通道中至少另—者㈣合至一處理氣體 本發明的其它目& 日日 的’特徵及優點可由以下的詳細書 乃’申請專利範圍及糾圖占 国夂附圖中而被更完整地瞭解。 遺單說明:_ 第1A及1B圖分別顯+士 .”、/、本發明的一蓋件組件的上及下立體 SJ _ 園, 第ic圖為根據本發明沾—^ 的一盍件組件,氣體分佈板及氣體 驅散板的的分解圖; 第2圖顯示第丨圖之蓋 1件、、且件的一間化的分解剖面圖; 第3A及3B圖分別顯示依據太 像本發明之一盍件組件的頂視圖 及剖面側視圖; 第4A至4C圖分別顯示本發明 、 不赞明的一盍件組件的下構件的頂 視’底視及剖面側視圖; 第5 A - 5 C圖分別顯示本發明的一蓋件組件的中間構件的頂 視’底視及剖面側視圖; 第6A-6C圖分別顯示本發明的一蓋件組件的上構件的頂 視’底視及剖面側視圖; 第7圖一基材處理設備的一簡化的示意圖,其具有—依據 本發明的蓋件組件; 第8圖為依據本發明的另一蓋件組件實施例之簡化剖面 圍, 第順 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .............金.........、玎.........麝 (請先閲讀t'面之注意事項再填寫本頁) 512410 五 -----------I. 經濟部智慧財產局員工消費合作社印製 A7 B7 發明説明() 第9圖為使用本發明的蓋件組件之一處理的示意圖; 第1 〇 Α圖為依據本發明之一蓋件組件之簡化的外觀圖其具 有多個氣體注入位置;及 第1 0B圖為依據本發明的另一實施例之一蓋件組件之簡化 的外觀圖,其顯示氣體分佈通道的其它架構。 通號對照說明: 10 蓋件级件 12 上板 14 中間板 16 底板 42 氣體驅散板(擋板) 40 氣體分佈板(蓮蓬頭) 45 下表面 6 0,7 0 安裝螺絲 47,49 接觸表面 44 空穴 46 側壁 48 底壁 50 氣體分佈孔 52 環形凸緣 53 72 凹部 18 膜層 110 冷媒路徑 112 上表面 114 下表面 116 中央?L 120 環形通道 122 入口 124 出〇 140 上表面 142 下表面 146 第一氣體通道 148 第二氣體通道 150 入口 152 出α 144 孔 160 上表面 162 下表面 1 64, 1 66 氣體通道 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 512410
五、發明説明( 172,174 入口 170 蓋 100 基材處理設備 104 施加器 20 0 實施例 212 上板 214 中間板 230 氣體分佈通道 240 蓮蓬頭 3 0 0 實施例 310 處理室 3 3 2 箭頭 342 箭頭 322 箭頭 412 第一板子 416 第三板子 4 3 0 第一組埠 434 通道 168 108 102 106 210 216 222 232 242 350 330 340 320 400 414 420 432 436 孔 處理室 閘閥 Daihen微波 蓋件組件 底板 冷卻通道 路徑 擔板 晶圓 氣體分佈管路 第二氣體分佈管 /月洗氣體分佈管 蓋件組件 第二板子 第二组埠 入口埠 注入埠 路 路 經濟部智慧財產局員工消費合作社印製 發明詳細說明: 第1A-1B圖顯示依據本發明的一實施例之蓋件組件 1〇的-外觀。如所示的,蓋件組件i。包括三個被熔接或 真空銲接在—起而成為—單—組件的構件—頂或上構件 或板子12及一中間構件或板子14被熔接在一起用以在其 第12頁 512410
五、發明説明(
内形成一或多條氣體管路。在一實施例中,有兩條氣體管 路被形成於其内,在本發明的範圍之内亦可形成不同數目 的氣體管道於其内。 一底部或下構件或板子16被真空銲接或熔接至該中 間構件1 4用以在其内形成冷媒通道。熟悉此技藝者將可 te易地瞭解到使用上部,上,中間,底部及下等詞並不是 要用來限制本發明的範圍。例如,上及下構件可被倒轉, 或二個構件可以左到右,或右到左,或其它的方式結合。 盈件組件1 〇及其構件的特定實施例的細節將參照附圖來 加以說明。 蓋件組件1 〇的下側被設計成可被安裝於一擋板及一 蓮蓬頭上如第1C圖所示。蓋件組件1〇,擋板及蓮蓬頭被 安裝在一基材處理室上,如第7圖所示。該蓋件組件1〇 的最上側大致為平的,其有助於一微波及具有用於微波隔 離之VAT閘閥的施加器的安裝。VAT闊可從vat公司獲得, 其在美國的麻州Woburn市設有辦公室。在另一實施例中, 蓋件組件1 0之該大致平的上側被耦合至一遠端電漿清潔 组件’如總部設在美國科羅拉多州的F 〇 r t C ο 11 i n s市的
Advanced Energy Industries 公司所販售的單元。 在某些實施例中,本發明的購件組件可與描述於美國 專利第 6, 079,356 號(,356 號專利),第 6,086,677 號(,677 號專利),及第5, 906, 683號(,683號專利)中的處理室一 起使用,該等專利係讓渡給本案申請人且藉由此參照而被 並於本文中。例如,蓋件組件1 〇可被用來取代示於,6 8 3 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公爱) .............-费: (%先閲讀t*面之注意事項再填、寫本頁) -訂· 華 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製
512410
就寻利的弟。固τ _ π ^
土板10及蓋子110。蓋件組件 10亦可與描述於,356號衷士, I 观專利中的室一起使用。在一實施 例中,蓋件組件1 〇可更換, 谀356號專利第8圖中的氣體箱 板160及蓋板34。 第1C圖顯示該蓋件級件 ^ 10與一氣體驅散板或擋板42 及一氣體分佈或蓮蓬頭4〇的關在 , . 的關係。在一實施例中,氣體 分佈及驅散板40,42係分兄丨丨利m夕,丄 另J利用夕個有螺紋的安裝螺絲 6 0, 70而被固定於該蓋件組件 丁主二 旰1U的一下表面45上。在一 實施例中,下表面45為底;^ 认主=— -扳16的表面。安裝螺絲6〇,7〇 提供氣體分佈及驅散板4〇, 42的炷總主品μ 以的接觸表面47,49分別斑 該蓋件組件10的下表面45之間的-相當緊密的面對面接 觸用以促進它們之間的熱傳導熱交換。在-實施例中,安 裝螺絲60’7°包含一與處理相容的材質,如錄或類此者。 在-實施例中,氣體分佈板4Q為—大致圓盤狀的裝 置其具有設置在中心的空穴44其是由㈣46及底壁以 所界定。多個氣體分佈孔50被形成穿過底壁钧用以讓處 理氣體能夠通過其間而到達一基材或晶圓上,如一半導體 晶圓上。孔50的大小及配置將隨著處理的特性而異。例 如,孔50可被均勻地間隔開用以提供一均勻的氣體分佈 於晶圓上。在另一方面,如果需要的話,孔5〇可被非均 勻地間隔及安排。在一實施例中,孔5〇具有範圍在 0· 0 0 5mm至〇· 1mm的直徑。如第ic圖所示的,板子4〇更 包含一環形凸緣52其從板子40的上部向外突出成為一 ^ 平的平面。凸緣52包括多個孔53用來承接安裝螺絲6丨 第14頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)
24 1X 5 ο
發明説明( 五 經濟部智慧財產局員工消費合作社印製 用以提供板子40的接觸表面47與蓋件組件1〇的下表面 4 5之間嚙合。 氣體驅散板42大體上為一圓盤狀其界定一凹部72用 以讓氣體能夠通過該蓋件組件1 〇並散佈於蓋件組件1 〇的 下表面45與該氣體驅散板42之間。該驅散板更包括多個 氣體驅散孔74與該凹部72成流體聯通用以讓氣體通過該 氣體散佈板40的凹穴44中。在一實施例中,驅散孔 具有一介於0.02 mm至0· 〇4ram的直徑。當然,熟悉此技藝 者可瞭解的時’驅散板42對於實施本發明而言並非是必 要的。處理氣體可直接從蓋件組件1〇通過並進入到該氣 體散佈板40的凹穴44中。 翻到第2 - 6圖,依據本發明的某些實施例的蓋件組件 1 0的其它細節將被說明。 第2圖顯示形成蓋件組件1 〇的一實施例之上,中, 及下構件1 2,1 4,及1 6的分解剖面圖。在一實施例中, 蓋件組件1 〇係使用一熔接或真空銲接處理來形成。為了 要製造該蓋件組件1〇,上,中,及下構件12,14,及16 的匹配表面被塗上一層膜層18,如富含矽的鋁層18。整 個組件被夾在一起,且被置於一高溫爐子中。在一實施例 中’一約550 °C的溫度被用來將構件接觸表面彼此銲接在 一起。以此方式,一單一的蓋件組件被形成。因此,不需 要0形環來保持流經蓋件組件丨〇内的通道之處理氣體之 間的分隔。以此方式,所有這三個構件1 2 , 1 4及1 6可同 時被熔接或鐸接在一起。 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .....................訂......... (讀先閱讀t'面之注意事項再填寫本頁) 在另'一實施例中,、^•一 壬n <三個構件12,14及16中的兩個 首先被如上所述地銲接 ^ ^ ^ 在一起。然後,一相似的處理被用 來將該二已被結合的 構件與該蓋件組件1 0的第三構件熔 接在一起。 在一實施例中,I — μ 母—構件12, 14及16都包含一金屬。 在某些實施例中,一或#夕 -更夕個構件包含鋁,鎳,及類此者。 在一特定的實施例中,Αι〜βΠβ1φρ上 Α 6 0 6 1 τ 6為被使用於這三個構件 12,14及16中的一,_々^ 一或所有構件上。熟悉此技藝者可 輕易地瞭解到其它的材皙 * 材質’如陶瓷,其它的金屬及鋁合金 都可在本發明的範圍内被使用。 在一實施例中,橋株^ 9 1 . ^ ^ n 稱件12,14及16包含一陶瓷。在某 些實施例中,構件12 , Ί j 。 ^ L 14及16可猎由將匹配表面金屬化 及如上文所述的將構㈣接或鏵接在—起而彼此結合在 起或者,陶竟構件12,14 ,及16可被加壓結合用以 將這三個構件12, 14及16熔接在一起。 第3A及3B圖顯示這三個構件12, “及16被熔接成 為蓋件組件10。蓋件組件1〇為一整合的,單件的元件其 用來輸送處理氣體至氣體驅散板42及安裝至該處理室的 主架構單元上。該蓋件組件1〇之精巧的本質可提供如下 所述的額外優點。在構成該蓋件組件1 〇之前之構件1 2 , 14及16的其它細節分別被示於第4a —4C, 5A —5C及6A-6C 圖中。 如第4A-4C圖所示的,底板16包括一形成於板16的 上表面112内的冷媒通路11〇。板16的一下表面114被設 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 512410 A7 B7 五、發明説明( 修正補无: 計成可耦合至一處理室開口並將該開口密封。在一實施例 中’表面114為第ic圖中之表面45,表面114耦合至擋 板42及/或蓮蓬頭4〇。板16具有一中心孔116穿過其中。 孔116與形成在中間板14及/或上板12上的氣體通道相 聯通。孔116亦與氣體驅散板42的凹部72相聯通用來將 氣散佈跨越板子40到達孔74。 如第4A圖中所示的,冷媒路徑il〇最好是包含多個 彼此相連之大致環形的通道120用以形成一單一的,連續 的流體路經通過該蓋件組件1 〇。在一實施例中,路徑11 〇 包含2至30個之間的通道120,且最好是3至8個之間的 通道120。冷媒路徑11〇具有一入口 122及一出口 124。 或者,入口 122及出口 124可相對調,使得入口 122成為 出口而出口 124成為入口。入口 122及出口 124最好是耦 合至一冷媒源且最好是被建構成從該冷媒源接受冷媒,如 一液態冷媒,及排放用過的冷媒。冷媒流體流經通道1 2 〇 並對流地冷卻該蓋件組件1 〇的一部分。 入口 122及出口 124最好是位在路徑11〇的相反端且 可藉由穿過最上層的蓋件組件12的埠來存取。或者,埠 可位在穿過下表面11 4或沿著底板1 6,中間板1 4及/或上 板1 2的外緣來提供冷媒通道1 2 0可通過的流體入口及出 α 〇 在一實施例中,路徑11 〇具有一婉蜓的形狀其可迫使 冷媒流體在相反方向上來回流經在板子丨6周圍的通道 120。當冷媒通過每一通道120之間時,其亦會徑向朝内 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 請 先 閲 讀 r s 之 注 意 事 項 再 填 寫 本 頁 訂 # 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 ^ιζ4ΐυ ---~_____Β?7_ 屮年今月 //01|多 五、發明 地流動。或者,依據入口 122及出口 124的位置,當冷媒 通過每一通道120之間時,其可徑向朝外地流動。" 在板子1 δ上的通道i 2 〇彼此被徑向地間隔開用 JL> 丄 ^1/ 的’熱父換表面積介於冷媒流經處與板子1 6之間。 最好是,通道120亦被建構成可提高板子16與安裝螺絲 6〇,70相鄰或靠近部分之對流冷卻。這有助於經由驅散 板’散佈板42,4〇及板子16的接觸表面47,49的對流 冷卻。 机 在一實施例中,通道120的戴面積在整條路徑11〇上 大致為固定。在另一實施例中,通道120的截面積愈徑向 地朝内則愈大,使得冷媒在最外面的通道1 20可流動的愈 快且在其通過裡面的通道i 2〇時即變慢。此結構可讓板U 對周圍的安裝螺絲的對流冷卻速率被提高。在一特定的實 施例中’最外圍的通道120的截面積約為〇· 〇3mm2至 〇·〇4ππη2且最内區的通道12〇的截面積則是介於〇.〇4_2 至〇 · 0 5mm之間。熟悉此技藝者可清處地瞭解到不同尺吋 的通道亦可在本發明的範圍内被使用。 在另一實施例中,需要將該蓋件組件加熱至一所想要 的/m度或溫度範圍。在此實施例中,一經過加熱的流體源 被輕合至入口 1 2 2,及一加熱流體被流經路徑i 1 〇及通道 120。 在另一實施例中,蓋件組件10其内被形成有兩個分 開來的路徑1 1 〇。在此實施例中,兩個路徑1 1 〇被耦合至 兩個流體源用以提供讓兩種具有不同溫度的流體通過通 第1頃 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ......................^.........% f請先閲讀背面之注意事項再填寫本頁} 512410 A7 B7 五、發明説明( %年今月,/g修上仁上補充 經濟部智慧財產局員工消費合作社印製 道12〇的選擇。在此實施例的一特殊的變化例中,一流體 源為-冷部流體’ &第二流體源為一加熱流體,用以提供 該蓋件組件10可在兩種溫度下操作的性能。熟悉此技藝 者可瞭解到的是,一不同數目的流體源及/或路徑110亦 可在本發明的範圍内被使用,用以在需要時提供多於兩種 的不同溫度或兩種不同種類的冷卻及/或加熱流體。 冷卻及/或加熱通道及路徑的其它細節被揭示於美國 專利第5, 906, 683號中,其全部的揭示藉由此參照而被併 於本文中。應被瞭解的是,本發明並不侷限於以上參照第 4A-4C圖所述的結構。例如,路徑11〇可包含數個分離的 且隔離的流體通道,而非一單一的,流連續的路徑。再者, 路徑11 0可具有一不同於第4 A圖所示的結構。 第5A-5C圖顯示蓋件組件1〇的中間構件或板子14。 板子14具有一上表面14〇及一下表面142,且下表面142 與板子16的表面藉由銲接或熔接而相匹配。藉由匹配表 面142及表面112,下表面142界定路徑11〇的一部分, 且路徑110在不需使用〇形環或其它密封裝置下被流體地 密封。在一實施例中,下表面142為大致平的或大體平滑 的表面。在此實施例中,路徑丨丨〇在其一側上具有一大致 平的表面。在一特定的實施例中,路徑11〇由於該大致平 滑的表面1 42而具有一大致板圓形的截面。在另一實施例 中(未示於第5B圖中),下表面i 42具有一路徑形成於其 内其為路徑110的鏡面影像。以此方式,當表面142及 被熔接在一起時,在該下表面142中的路徑及路徑丨10 一 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .............豐.........、可.........參 (請先閲讀•背面之注意事項再填寫本頁) 512410 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明( 起界定冷媒通道。在此一實施例中,冷媒通道具有一大致 圓形的戴面。 如第5Α圖所示的’板14的上表面140具有第一及第 二氣體通道146,148形成於其内。在一實施例中,在表 面140中的通道146及148具有一半圓形的截面。熟悉此 技藝者可暸解的是,在本發明的範圍中,通道146及148 可具有其它的形狀,包括具有方形,三角形,矩形,橢圓 形及其它幾何形狀及不規則形狀的剖面在内。 通道146及148每一者都分別具有一入口 15〇及 152°入口 150及152最好是被耦合至一或多個氣體源以 便於輸送處理氣體及/或鈍氣至該基材處理室中。通道146 及148被分開來,除了在一實施例中通道146及ι48每一 者皆具有一出口或端部其與一孔144共同被設置於板14 上。孔144穿過板14且與板16上的孔116對準。以此方 式,被導入入口 150及152的氣體分別通過通道146及148 並繼續通過孔144。然後,氣體繼續通過在板16上的孔 116並到達氣體驅散板40的凹部72中。其結果為,氣體 可使用本發明之蓋件組件1 0而被導入處理室中。 熟悉此技藝者將可瞭解,板丨4的變化係在本發明的 範圍内。例如,雖然第5A圖顯示具有兩個氣體通道in 及148的板子14,但在本發明的範圍内,板子14亦可具 有一單一氣體通道,或多於兩個氣體通道。再者,通道出 口,雖然在第5A圖中係被共同設置,可以是分離的。以 此方式,通道146及148每一者可分別連接至一出口,其 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ297公釐) ---------------------訂 (請先閲讀•背面之注意事項再填寫本頁) 叫410 A7 B7 五、發明説明( 修正補充 然後可被耦合至孔1 44及/或11 6用以輸送氣體通過它 們。再另一實施例中,通道146及1 48具有分開的出口其 通過板16並進入到凹部72中或直接進入一處理室用以將 氣體提供至其内。 現翻到第6A-6C圖,具有一上表面I60及一下表面I62 的上板12將被討論。通道164及166為板14的通道146 及148的鏡面影像。以此方式,當板及12被熔接或銲 接在一起時,或更特定地,當表面140與表面162相匹配 時,通道164與148 一起界定一第一氣體通道及通道166 與146 一起界定一第二氣體通道。形成於板12及14之間 的氣體通道的戴面係視兩個相對通道,如通道166及146 的截面定。在一實施例中,通道146及166皆具有一大致 半圓形的截面’恩此當板1 2及1 4銲接在一起時,所得到 的氣體通道即具有一大致圓形的截面。相同的關係亦存在 於通道164與148之間。 如第圖所示,通道164及166皆分別具有一入口 172及174°通道164及166皆具有一出口其在一實施例 中與一孔168被共同設置。在一實施例中,孔168與孔144 及116對齊使得被導入通道164及166的氣體可通過蓋件 組件10而進入到基材處理室中。 板12的上表面丨6〇在一實施例中為大致平滑的平 面。表面160具有一蓋17〇其插入孔168中。以此方式, 被導入通道164及166的氣體可通過孔168進入處理室 中’但因為蓋1 7 0的關係而無法從蓋件組件1 〇的頂端逸 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) 請 先 閲 讀 背· 注 意 事 項 再 塡 寫 本 頁 訂 # 經濟部智慧財產局員工消費合作社印製 512410 A7 B7 修. 經濟部智慧財產局員工消費合作社印製 五、發明説明( 出。在一實施例中,蓋1 7〇為板1 2的一部分或與板1 2 一 體形成因而是不可取下的。在另一實施例中,蓋17〇可被 取下’而便於孔116, 144及168及/或通道146, 148, 164 及166的清潔。 藉由如上所述地將上板12與中間板14熔接在一起, 處理氣體及/或鈍氣即可有效率地通過蓋件組件形成 於其内的氣體通道有助於保持氣體分開直到它們在預定 處混合為止。再者,藉由將板子1 0,12,及1 4熔接或銲 接在一起’即可不再需要0形環或其它密封機構來防止氣 體或冷媒的滲漏。 本發明的一項優點在於藉由形成氣體通道166, 146及148於蓋件組件1〇中,即不再需要一氣體歧管,如 示於美國專利第’ 683號的第5圖中的歧管14。其結果為, 其它的構件,如一微波產生裝置或遠端電漿清潔組件,即 可被置於該蓋件組件1〇之上,因而更靠近該處理室。以 此方式,即可達成改善的電漿清潔特性。改善的清潔結果 至少部分是因為解離的基團可更快速地到達將被清潔的 表面,因此該等物質的有效性尚未失去且在清潔之前不會 發生再結合的情形。 第7圖顯示一基材處理設備100及依據本發明之相關 構件的簡化示意圖。如所示,處理設備100包括依據本發 明之蓋件組件10其耦合至一處理室108。一閘閥1〇2,如 一 VAMTM閘閥,被提供且被耦合至一施加器10^閘閥102 亦被耦合至一微波產生器106,如Dai henTM微波,或其它 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .............會.........耵.........S (請先閲讀r面之注意事項再填寫本頁) 512410
五、發明説明( 經濟部智慧財產局員工消費合作社印製 微波設備。VAM闊102提供微波管路的開啟及關閉。 Dwhem皮1Q6可從設在美國加州Sanu g^市的 Gaihen Advanced Components公司購得。這些微波被用來 產生所需要的功率及壓力環境用以分解Mb,而產生匕及 h以#刻沉積在該室内及處理套件上的嫣(w)。 在一實施例中,NF3通過一在微波產生器1〇6中的藍 寶石管。Fx基團被產生於其中,且經由閘閥1〇2及板42, 40如第1C圖所示的,而被導入室1〇8或者,一遠端電漿 產生模組被使用。閘閥102將Fx基團導入處理室1〇8且其 典型地於清潔期間是打開的,並在處理操作期間是關閉 的。閘閥102被用來提供一較大的開啟及較佳的傳送以提 供較快的清潔。在一實施例中,内部的構件為鋁製的,所 以Fx基團將不會攻擊構件。再另一將於下文中詳細說明的 實施例中,多個穿過蓋件組件1 〇的入口會形成多個在清 潔操作期間用來將F X基團注入室1 〇 8中的位置。此一實施 例可提供有效及均勻的清潔。 翻到第8圖,本發明的另一實施例2 〇 〇將被討論。實 施例20 0包括一與參照第ι_7圖討論的蓋件組件相似的蓋 件經件210。蓋件組件210包括一上板212及一底板或下 板216,這兩者耦合至一中間板214的相反表面上。如上 所討論的實施例般地,板21 2,2 1 4及2 1 6可有不同的材 質製成’包括金屬如鋁在内。在一實施例中,板212,214 及216如上所述地係被真空銲接或熔接在一起。如第8圖 的實施例所示的,第一板212包括多個與第4A圖所示的 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) -.............0^···......訂.........S (請先閲讀•背面之注意事項再填寫本頁) 512410 A7 B7 修正補充 五、發明說明() (請先閲讀•背面之注意事項再填寫本頁) 通道相同的冷卻通道222。冷卻通道222被耦合至一冷媒 源及一容器或其它裝置用以接受用過的冷媒。如所示的, 板212具有一大致平的上表面使得一微波產生器(未示出) 或其它裝置可被耦合於其上。 中間板214具有一大致平滑的上表面其被耦合至板 212用以部分地界定冷媒通道222。中間板214的相反表 面具有一或多個氣體分佈通道230其與第5Α及6Β圖所示 的相似。氣體分佈通道2 3 0被耦合至一或多個氣體源用以 輸送處理氣體及/或鈍氣至一基材處理室中。 一第三板216包括多個氣體通道232延伸於板子216 之分開來的第一及第二表面之間。如第8圖所示的,在一 實施例中,板子216的第二表面被耦合至一擋板242及一 蓮蓬頭240。通道232連接氣體分佈通道230與擋板242, 且更將該等氣體分佈通道230耦合至一凹穴,如第1C圖 所示的凹穴44。在此實施例中,一或多種氣體可被注入該 處理室中用以提供在沉積於該基材或晶圓上之前的氣體 混合。以此方式,可獲得改善的沉積均勻性。 經濟部智慧財產局員工消費合作社印製 第9圖為一根據本發明的一實施例之的處理的簡化示 圖,其顯示處理氣體及清洗氣體進入一處理室的分佈。在 此實施例3 0 0中,一晶圓3 5 0,如一半導體晶圓,被保持 在一處理室310中。在本發明的範圍内,處理室gig可以 是不同的處理,包括處理氣體之化學氣相沉積(CVD)室, 原子層沉積(ALD)室及類此者。晶圓350可被一在處理室 310内的載盤或平台(未示出)所支撐。 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公爱) 512410 A7 B7 屮年今月//曰 修正 經濟部智慧財產局員工消費合作社印製 五、發明説明( 本發明的蓋件組件,如蓋件組件2丨〇,被用來將氣體 分佈於室310中。如第9圖所示的,一第一氣體藉由使用 多個注入埠而被注入室3 1 0中。路徑2 3 2的位置係被設計 來達到適^的混合及氣體分佈。以此方式,晶圓3 5 〇上之 氣體分佈的均勻性可獲得改善。 如第9圖所示,一第二氣體可被導入於第一氣體之間 及/或第一氣體周圍用以在沉積或與晶圓35〇相關的其它 處理之前獲得第一氣體與第二氣體之間的適當混合。氣體 混合可發生在室3 1 0中而通過蓋件組件2 1 〇的氣體沒有被 混合,及/或在蓋件組件210中混合。如第9圖所示,第 一氣體具有第一氣體分佈管路330其耦合至多個分開來的 氣體路徑,以箭頭332來表示。相似地,第二氣體具有一 第二氣體分佈管路340其耦合至一或多個氣體路徑,以箭 頭342來表示。在一特定的實施例中,氣體分佈管路33〇 及340對應於第8圖的氣體通道230,且以箭頭332及342 來表示的氣體路徑則對應第8圖中的路徑232。 在一特定的實施例中,於沉積期間將清洗氣體導入至 室310的周邊及晶圓350的周邊是所想要的。在外周邊使 用清洗氣體可降低或防止處理氣體沉積於晶圓周圍的處 理套件上,或處理室310室壁上,及其它露出來的硬體上。 藉由降低或消除在室310構件上之所不想要有的沉積,即 可達成室3 1 0更快速的清潔。 如所示&,在一實施例+,清}先1體係經由$青洗氣體 分佈管路320而被導至位在晶圓35〇及/或室壁31〇周邊 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) .............豐.........、玎......:·# (請先閲晚背面之注意事項再填寫本頁) 512410
五、發明説明( 經濟部智慧財產局員工消費合作社印製 附近的埠。此結構以箭頭322示意地顯示。在一特定的實 施例中,耦合至清洗氣體分佈管路3 2 〇的埠對應於於氣體 分佈通道230及/或一或多個路逕232。處理氣體及清洗氣 體經由一或多個排放埠離開示31〇 ,如所示的埠324。 翻到第1 0 A圖,一依據本發明的一蓋件組件4 〇 〇的特 定實施例將被討論。蓋件組件400包括一第一板41 2,一 第一板4 1 4及一第二板41 6 ’其與前述蓋件組件實施例相 似。在一實施例中,第一板41 2具有第一组埠4 3 0及第二 組埠420。在一實施例中,槔42〇被設置在大約在板412 的周邊附近,且被耦合至一或多個氣體源,如鈍氣或清洗 氣體。在此實施例中,埠420穿過板412,414,及416, 藉此提供清洗氣體至一基材處理室中,如第9圖所示的室 310。在一實施例中,埠420對應於第g圖的箭頭32^在 一實施例中,冷媒通道,如第8圖中的通道2 2 2,可被形 成於板412及414的耦合表面中。與先前的實施例相同 地’冷媒通道可被形成於板412與414的匹配表面上,或 只在兩個匹配表面之之者上。 在一實施例中,埠430亦穿過板412,414,及416。 在此實施例中,埠430對應於第9圖的箭頭332及432。 埠430可被耦合至一或多個氣體源用以輸送處理氣體或鈍 氣至一基材處理室中。在一實施例中,埠42〇及43〇的數 目對應於將鈍氣及處理氣體注入至室31〇中所需要之注入 埠的數目。 翻到第10B圖,蓋件組件400的另一實施例將被討 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ......................訂.........蘗 (請先閲讀•背面之注意事項再場寫本頁) 512410 月"曰!斤
五、發明説明( 經濟部智慧財產局員工消費合作社印製 論。在此實施例中,板子412具有比第1〇A圖中少的氣體 埠430 °雖然第mb圖顯示兩個分開來的埠43〇 ,但一較 多或較少數目的埠430亦可被使用,且埠430的位置亦可 不同於所示的位置,而這些都是在本發明的範圍内。在此 實施例中,埠430穿過板412及板414(未示於第ι〇Β圖中) 並進入板416但並沒有直接穿過它。埠43〇大致上與形成 於板416上的入口埠432對齊。入口埠432然後將處理氣 體或純軋沿著一或多個通道導引至一系列的出口或注入 埠4 3 6。注入埠4 3 6穿過板41 6並被建構成可分別將處理 氣體或鈍氣輸送至示於第9及8圖中的室310及/或蓮蓬 頭240。最好是,注入埠436位在本416的附近用以將處 理氣體以所想要的分佈方式送至室31〇中。 第10B圖顯示在本發明的範圍内之多種不同的通道結 構中的兩種。如所示的,通道434適當地將氣體分佈於板 416附近並進入到室310中。例如,在第10B的右手邊部 分的入口埠432被耦合至兩通道434其接著被耦合至兩注 入埠436。在第10B的左手邊部分的入口埠432被耦合至 四個通道434且接著被輕合至四個注入埠436。 熟悉此技藝者將可瞭解到的是,多種不同的通道 434 ’埠430及注入埠436的紐合可在本發明的範圍内被 使用。例如,通道434及注入埠436並不一定要是1: 1 的必例。再者,形成於板412上的埠430可以被第10B圖 所示的彼此更加靠近。此一實施例有賴於在板416上的通 道434在氣體通過板416並進入到室310之前適當地分佈 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公复) .....................訂.........參 (請先閲説背面之注意事項再填寫本頁) 512410 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() L-—’ — 處理氣體。藉由讓埠430彼此相鄰近且靠近板412的周 邊’則一微波產生裝置或其它硬體可被安裝在板412之大 致平的上表面上而不會阻擋到埠430的進出。或者,埠430 可被形成在蓋件組件200的周緣上,如在板412的邊緣 上。 雖然未示於第1 〇 B圖中,但在一實施例中一第二或中 間板子414被形成於板子412及416之間。板子414具有 穿過它的路徑形成於其内,該路徑對應於埠430及432用 以允許處理氣體或鈍氣通過板子414並進入埠432。板子 414的一下表面將耦合至通道434並流體地密封通道 434。相似地,板子414的一上表面及/或板子412的一下 表面具有多個冷媒通道形成於其内。 雖然示於第8圖的實施例顯示蓋件組件2 0 0耦合至擋 板242及蓮蓬頭240,在一實施例中本發明的蓋件組件是 在沒有擋板2 4 2及蓮蓬頭2 4 0下被使用的。在此實施例 中,路徑232被建構來提供所想要的處理氣體分佈於室 310中而無需使用到擋板及/或蓮蓬頭。 本發明現已為了清楚及易於瞭解的目地而被詳細說 明了。然而,應被暸解的是,某些變化及修改可在隨附的 申请專利範圍的範圍内被達成。例如,雖然第1至6c圖 大體上係關於一蓋件組件1〇其具有板16及冷卻通道12〇 比在板12及14中的氣體通道更靠近處理室,當並不一定 必需如此。在另一實施例中,板1 6為上板,及在板1 2及 14中的氣體通道比冷卻通道12〇或路徑no更靠近處理室 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) -- ......................訂.........參 (請先閲讀背面之注意事項再填寫本頁) 512410 A7 B7 五、發明説明() 及/或蓮蓬頭。 (諳先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)

Claims (1)

  1. 512410
    A8 六、申請專利範圍 1一 ' 1· 一種用於一半導體處理室的蓋件組件,該處理室具有一 外殼界定了一處理室及一開口,該蓋件組件至少包含: 一第一板子,其具有第一及第二間隔開來的表面界 定一厚度於其間,該第二表面具有一通道形成於其内, 該通道耦合至一入口及一出口; 一第二板子,其輕合至該第二表面;及 一第三板子,其具有一第一通道形成於其内,該第 一通道輕合至一第一通道入口及一第一通道出口,且該 第三板子耦合至該第二板子用以流體地密封該第一通 道。 2 ·如申請專利範圍第1項所述之蓋件組件,其中耦合在一 起的第一及第二板子流體地密封該第二表面通道。 3·如申請專利範圍第1項所述之蓋件組件,其中該第二表 面通道入口被耦合至一流體源。 4·如申請專利範圍第1項所述之蓋件組件,其中該第一及 第二板子皆具有一孔穿過其間,該等孔彼此相對齊並與 第三板子上的第一通道出口對齊。 5·如申請專利範圍第1項所述之蓋件組件,其中該第一通 道被耦合至一第一氣體源。 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ---------------------- (請先閲讀·背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 512410 A8 B8 C8 D8 _______—__------- 六、申請專利範圍 6. 如_請專利範圍第丨項所述之蓋件組件,其中該第三板 子更包含一第二通遒於其内,該第二通道與該第一通遒 相間隔開來。 7. 如申請專利範圍第6項所述之蓋件組件,其中該第二通 道更包含一第二通道入口及一第二通道出口,該第二通 道出口被辆合至該第一通道出口。 8. 如申請專利範圍第6項所述之蓋件組件,其中該第二通 道被耦合至一第二氣體源。 9. 如申請專利範圍第1項所述之蓋件組件,其中該第一, 第二及第三板子係被溶接在一起的。 1 0 ·如申請專利範圍第1項所述之蓋件組件,其中該第—, 第二及第三板子包含。 經濟部智慧財產局員工消費合作社印1 1 1 ·如申請專利範圍第1項所述之蓋件組件,其中該第二板 子包含第三及第四表面,該第三表面具有一通道形成於 内,該第三表面被轉合至該第二表面使得被形成於其内 的通道共同界定一不動的管子。 12·如申請專利範圍第11項所述之蓋件組件,其中該不動 的管子被流體地密封,除了該入口及出口之外。 第31頁 一^國家標準(CNS)A4 規格(210 X 297 公t ~' 512410 ^^___ 經濟部智慧財產局員工消費合作杜印製 A8 B8 C8 D8 申請專利範圍 1 3 .如申請專利範圍第1項所述之蓋件組件,其中該第二板 子包含第三及第四表面,該第四表面具有一通道形成於 内,該第四表面被耦合至該第三表面使得被形成於其内 的通道共同界定一不動的管子。 1 4·如申請專利範圍第1 3項所述之蓋件組件,其中該不動 的管子被流體地密封,除了該第一通道入口及第一通道 出口之外。 1 5.如申請專利範圍第1項所述之蓋件組件,其更包含一氣 體驅散板其可移除地耦合至該第一表面。 1 6·如申請專利範圍第1項所述之蓋件組件,其更包含一氣 體分佈板其可移除地耦合至該第一表面。 1 7.如申請專利範圍第1項所述之蓋件組件,其中該第二表 面通道包含一婉i延的通道。 1 8.如申請專利範圍第1項所述之蓋件組件,其更包含一微 波產生器其可移除地耦合至該第三板子。 1 9 ·如申請專利範圍第1項所述之蓋件組件,其更包含一遠 端電漿清潔單元其被耦合至該第三板子。 第32頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請· 先 閱 讀 背 面 之 注 意 事 項 再 填 冩 本 頁 512410 A8 B8 C8 D8 六、申請專利範圍 20·—種整合的蓋件組件用於密封—基材處理室,該蓋件組 件至少包含: 第一及第二板子其被不動地耦合至一設在它們之間 的第二板子; 其中茲第一及第二板子界定—流體通道於其内,及 該第二及第三板子界定一氣體輸送通道於其内; 該第一板子具有一大致平的表面用以耦合至該處理 室;及 該第三板子具有一大致平的表面。 2 1.如申請專利範圍第20所述之蓋件組件,其中該第一及 第三板子與設置在它們之間的第二板子係被熔接在一 起。 22·—種用於密封一基材處理室之整合的蓋件組件,該蓋件 組件至少包含: 一多層銲接的板子,其是由兩片或多片板子所形 成; 經濟部智慧財產局員工消費合作社印制π 該銲接的板子具有一大致平面的上及一大致平面的 下表面;及 該銲接的板子具有一冷媒通道及一氣體通道,其分 別被形成於構成該銲接的板子之各板子之相對的匹配 表面上。 第33頁 512410 A8 B8 C8 D8 申請專利範圍 23 ·如申請專利範圍第22所述之蓋件組件,其中該氣體通 道被形成於一第一板子表面中,該第一板子表面與一第 二板子表面的一大致平面的部分相匹配。 2 4.如申請專利範圍第2 3所述之蓋件組件,其中該氣體通 道具有一大致半圓形的截面。 2 5 ·如申請專利範圍第22所述之蓋件組件,其中該氣體通 道的一第一部分被形成於一第一板子表面内,及一該氣 體通道的一第二部分被形成於一第二板子表面内,該第 一及第二表面相匹配用以重疊該第一及第二部分以界 定該氣體通道。 26· —種基材處理室,其至少包含: 一外殼,其界定一室並具有一開口;及 一蓋件組件,其耦合至該開口,該蓋件組件包含: 第一及第二板子其被不動地隸合至一設在它們 之間的第二板子; 其中該第一及第二板子界定一流體通道於其 内,及該第二及第三板子界定一氣體輸送通道於其 内; 該第一板子具有一大致平的表面用以耦合至該 處理室;及 該第三板子具有一大致平的表面。 第34頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) It 先 閱 讀 背 面 之 注 意 事 項 再 頁 經濟部智慧財產局員工消費合作社印製 512410
    六 經濟部智慧財產局員工消費合作社印製 申請專利範圍 27·如申請專利範圍第26項所述之基材處理室,其更包含 一微波產生器被安裝在該第二板子之大致平面的上表 面上。 28·如申請專利範圍第26項所述之基材處理室,其更包含 一遠端電漿清潔組件其被耦合至該第三板子。 29·如申請專利範圍第26項所述之基材處理室,其更包* 一氣體分佈板其可移除地耦合至該第一板子之大致平 的表面上,該氣體分佈板界定一或多個氣體分佈孔穿過 該板用以與該室相聯通。 30. —種基材處理室蓋件組件,其至少包含: 一多層銲接的板子,其由兩塊或多塊板子形成,卞 多層銲接的板子具有·· 多個間隔開來的氣體注入埠其被建構成可輸送 氣體至一處理室; 、 多個氣體通道每一通道都隸合至少一注入埠. 及 ’ -通道其形成於該銲接的板子中且被建構成可 耦合至一流體源。 31. 如申請專利範圍第30項所述之蓋件組件,其中該等氣 體注入埠係〃 一結構被分佈#該銲接的板子的〆表面 第35頁 512410 A8 B8 C8 D8 申請專利範圍 上用以將氣體以一所想要的圖案散佈出。 3 2 ·如申請專利範圍第3 0項所述之蓋件組件,其中至少一 氣體通道被耦合至一清洗氣體源,及至少另一氣體通道 係耦合至一處理氣體源。 3 3 ·如申請專利範圍第3 0項所述之蓋件組件,其中該流體 源包含一加熱流體源。 34·如申請專利範圍第30項所述之蓋件組件,其中該流體 源包含一冷卻流體源。 請- 先 閱 讀 背 面 之 注 意 事 項 再 填 寫 本 頁 經濟部智慧財產局員工消費合作社印製 第36頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW090122797A 2000-09-13 2001-09-13 Processing chamber with multi-layer brazed lid TW512410B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US23228900P 2000-09-13 2000-09-13

Publications (1)

Publication Number Publication Date
TW512410B true TW512410B (en) 2002-12-01

Family

ID=22872549

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090122797A TW512410B (en) 2000-09-13 2001-09-13 Processing chamber with multi-layer brazed lid

Country Status (4)

Country Link
US (1) US20020072164A1 (zh)
AU (1) AU2001294588A1 (zh)
TW (1) TW512410B (zh)
WO (1) WO2002023964A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI668322B (zh) * 2014-10-17 2019-08-11 美商蘭姆研究公司 整體式氣體分配歧管及其種種施工技術與使用案例
US10794519B2 (en) 2016-01-15 2020-10-06 Lam Research Corporation Additively manufactured gas distribution manifold

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100516844B1 (ko) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US20070137575A1 (en) * 2003-11-05 2007-06-21 Tokyo Electron Limited Plasma processing apparatus
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
JP5280861B2 (ja) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
US7605030B2 (en) * 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US8025269B1 (en) * 2007-10-16 2011-09-27 National Semiconductor Corporation Chamber lid lifting apparatus
JP5587205B2 (ja) * 2007-12-20 2014-09-10 ソイテック エピタキシャル成長基板に前駆体ガスを送出するための装置
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US10128087B2 (en) 2014-04-07 2018-11-13 Lam Research Corporation Configuration independent gas delivery system
US20160111257A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Substrate for mounting gas supply components and methods thereof
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
AU2017237981B2 (en) 2016-03-21 2022-02-10 Nooma Bio, Inc. Wafer-scale assembly of insulator-membrane-insulator devices for nanopore sensing
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11367594B2 (en) * 2019-11-27 2022-06-21 Applied Materials, Inc. Multizone flow gasbox for processing chamber
US11624450B2 (en) * 2021-04-29 2023-04-11 Applied Materials, Inc. Fluid delivery mounting panel and system
WO2023121714A1 (en) * 2021-12-22 2023-06-29 General Graphene Corporation Novel systems and methods for high yield and high throughput production of graphene

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2602611B2 (ja) * 1993-04-19 1997-04-23 山一電機株式会社 Ic保護用接続器
US5949137A (en) * 1997-09-26 1999-09-07 Lsi Logic Corporation Stiffener ring and heat spreader for use with flip chip packaging assemblies

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI668322B (zh) * 2014-10-17 2019-08-11 美商蘭姆研究公司 整體式氣體分配歧管及其種種施工技術與使用案例
TWI682061B (zh) * 2014-10-17 2020-01-11 美商蘭姆研究公司 整體式氣體分配歧管及其種種施工技術與使用案例
US10914003B2 (en) 2014-10-17 2021-02-09 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US10794519B2 (en) 2016-01-15 2020-10-06 Lam Research Corporation Additively manufactured gas distribution manifold

Also Published As

Publication number Publication date
US20020072164A1 (en) 2002-06-13
AU2001294588A1 (en) 2002-03-26
WO2002023964A1 (en) 2002-03-21

Similar Documents

Publication Publication Date Title
TW512410B (en) Processing chamber with multi-layer brazed lid
TWI609425B (zh) 半導體晶圓處理用的設備、系統及方法
US10190214B2 (en) Deposition apparatus and deposition system having the same
JP7175114B2 (ja) 載置台及び電極部材
TWI332997B (en) Gas distribution showerhead featuring exhaust apertures
US5906683A (en) Lid assembly for semiconductor processing chamber
US6827815B2 (en) Showerhead assembly for a processing chamber
KR100390592B1 (ko) 반응 챔버에 기체 및 고주파 전력을 공급하는 적층 샤워헤드 어셈블리
TWI570258B (zh) 具有高放射率表面的氣體散佈噴頭
US6955725B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
TW201702422A (zh) 氣流控制裝置、噴頭組件及半導體製造設備
US20090211707A1 (en) Apparatus for gas distribution and its applications
JP2007116151A (ja) 基板処理のためのガスリング及びその使用方法
KR100574116B1 (ko) 반도체 처리 시스템의 매엽식 처리 장치
US20090258162A1 (en) Plasma processing apparatus and method
US8048226B2 (en) Method and system for improving deposition uniformity in a vapor deposition system
US20080230377A1 (en) Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
JP2001323377A (ja) 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
JP2009503876A (ja) 半導体処理用堆積装置
TW201425635A (zh) 熱絲化學氣相沉積腔室之噴頭設計
TWI837376B (zh) 基板處理裝置
CN114341398A (zh) 温控吊灯型喷头
TWI223328B (en) Apparatus for depositing thin film on wafer
JP2003247073A (ja) ガスシャワーヘッド、成膜装置及び成膜方法
KR20170116725A (ko) 기판 처리 장치