TW423044B - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
TW423044B
TW423044B TW086117015A TW86117015A TW423044B TW 423044 B TW423044 B TW 423044B TW 086117015 A TW086117015 A TW 086117015A TW 86117015 A TW86117015 A TW 86117015A TW 423044 B TW423044 B TW 423044B
Authority
TW
Taiwan
Prior art keywords
chamber
processing chamber
opening
substrate
loading
Prior art date
Application number
TW086117015A
Other languages
English (en)
Inventor
Yukio Soejima
Toshimi Katsura
Hideaki Miyazawa
Original Assignee
Plasma System Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Plasma System Corp filed Critical Plasma System Corp
Application granted granted Critical
Publication of TW423044B publication Critical patent/TW423044B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

^Λ23〇 ^ 4 Α7 Β7 五、發明說明(1 ) 發明背景 發明技術領域 本發明係關於電漿處理設備,藉由施加電漿放電來實 施不同的表面處理,例如半導體基體、顯示基體、多晶片 模組(MCM)、印刷電路板、等等的蝕刻、去灰、沈積 、表面重建及表面淸潔。 背景技藝 關於根據傳統LSI製程之半導體基體等的蝕刻、去 灰、沈積、表面重建、及表面淸潔,已廣泛使用各種型式 的電漿處理設備,其在減壓的環境下藉由產生電漿來處理 基體。 在這些型式的電漿處理設備中,裝載-鎖室係設置成 相鄰於實施電漿處理的處理室,且基體會經由此裝載-鎖 室而被輸送。在此情形中,會在處理室與裝載-鎖室之間 設有開口以輸送基體。在處理期間,此開口係藉由稱作閘 閥之閘元件氣密地密封,且在輸送期間,基體會被移進及 移出此開口。 但是,對於傳統的電漿處理設備,一裝載-鎖室通常 被提供給每一處理室。結果’會產生每單位時間所處理之 基體的數目(亦即產能)爲小的問題。因此,迫切需要具 有高產能的電漿處理設備。 發明槪述 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝------ 訂------!·#1 經濟部智慧財產局員工消费合作杜印製 -4- 及致使 1230 4 4 A7 ___ B7___ 五、發明說明(2 ) 在慮及上述觀點下,本發明的目的在於提供一種電漿 處理設備,其中可獲得產能的增加。 根據本發明,電漿處理設備被提供來藉由電漿放電以 實施基體的表面處理,該電漿處理設備包括: 第一處理室及第二處理室,用以實施表面處理; 裝載一鎖室,位於該第一處理室與第二處理室之間, 藉由第一連接組件及第二連接組件分別與該第一處理室與 第二處理室連接; 多個開口,分別設置在該第一連接組件與第二連接組 件中,分別致使該第一處理室與該裝載-鎖室之間連通, 處理室與該裝載-鎖室之間連通;及 輸送機構,能夠藉由在第一處理室、該裝載-鎖室、 及該第二處理室被鄰接配置之方向上,以往復方式自由地 移經該等開口,以便在該裝載一鎖室與該第一處理室之間 實施基體輸送,及在該裝載一鎖室與該第二處理室之間實 施基體輸送; 其中,多個該輸送機構係以彼此垂直的方式設置。 根據本發明的一個觀點,該第一處理室、該裝載-鎖 室、及該第二處理室被鄰接地配置以形成圓弧,且該多個 輸送機構係藉由被轉動,而能夠在該鄰接的配置方向上以 往復方式自由地移動。 根據本發明的另一觀點,提供有電漿處理設備,其中 在每一個該第一連接組件及第二連接組件中、上開口及下 開口被分別設置作爲該等開口 ’該上及下開口係以彼此垂 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀. 背 面 之- 注
項 再Γ I裝 本 _ 頁I I 訂 經濟部智慧財產局員工消費合作杜印製 -5- 經濟部智慧財產局具工消費合作社印製 :A23〇 ^ 4 A7 B7 五、發明說明(3 ) 直的方式而被間隔分開;上輸送機構及下輸送機構設置作 爲在具有該上開口及下開口之個別層級的該輸送機構:且 該上輸送機構及下輸送機構均在該裝載-鎖室內包括閘閥 ,其藉由在該鄰接的配置方向上以往復方式被驅動而從該 裝載一鎖室交替地開啓及關閉該第一連接組件的該開口及 該第二連接組件的該開口:用於基體支撐的第一載持器, 其相對於該閘條而被固定在該第一處理室側上,且當該閘 條關閉該第一連接組件的該開口時被定位在該第一處理室 側之內;及用於基體支撐的第二載持器,其相對於該閘條 而被固定在該第二處理室側上,且當該閘條關閉該第二連 接組件的該開口時被定位在該第二處理室側之內。 在此情形中,最好在每一個該第一連接組件及該第二 連接組件中,在該上開口及該下開口之間,中間閘閥分別 被設置在該裝載-鎖室側上,以轉動方式交替地開啓及關 閉該上開口及該下開口;及該等開口的每一個係藉由該輸 送機構的該中間閘閥及該閘條的其中之一而被關閉。 此外,根據本發明之電漿處理設備,最好更包括: 基體輸入機器人,用以將基體從基體供應站輸送至該 裝載一鎖室:及 基體輸出機器人,與該基體輸入機器人分開設置,用 以從該裝載-鎖室輸送基體。 圖式簡述 圖1係平面圖,包含部分剖面圖,顯示根據本發明的 本紙張尺度適用t國國家標準(CNS)A4規格(210x 297公蜚) -6 - (請先閱讀背面之注意事項再填寫本頁) ---— I ---訂·ί!ί*.^ F A7 _ B7 五、發明說明(4 ) 較佳實施例之電漿處理設備的結構外形。 (請先閱讀背面之注意事項再填寫本頁) 圖2係平面圖,顯示圖1中所示的電漿處理設備中的 基體輸送系統之放大圖。 圖3係側剖面圖,顯示圖2的基體輸送系統之I I I —I I I剖面圖。 圖4係從圖1的I V方向観視的側剖面圖。 圖5係側剖面圖,顯示延著圖2之V_V線之圖1中 所示的電漿處理設備中的中間閘閥。 圖6係側剖面圖,顯示圖1中所示之電漿處理設備中 的大氣閘閥(處於關閉狀態)。 圖7係顯示處於開啓狀態之圖6的同一個大氣閘閥。 圖8至1 1係解釋說明圖,顯示使用圖1中所示的電 漿處理設備之方法。 主要元件對照表 1 電漿處理設備 1 0 R 第一處理室 經濟部智慧財產局員工消费合作社印製 I 0 L 第二處理室 II 裝載一鎖室 11a 加熱器 lib 進出埠 1 1 C 大氣閘閥 lid 驅動機構 lie 汽缸 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局貝工消费合作社印製 臛42304 4 A7 _B7 五、發明說明(5 ) 1 1 f 淸 除 墙 1 3 R 閘 板 1 4 R U 上 開 □ 1 4 R L 下 開 P 1 5 密 封 組 件 2 0 U 上 翼 2 0 L 下 翼 2 1 閘 條 2 1 a 中 心 軸 2 1 b 馬 達 2 2 R 接 觸 組 件 2 2 L 接 觸 組件 2 3 R 基 體 載 持 器 2 3 L 基 體 載 持 器 2 4 分 支 組 件 2 4 a 中 空 空 間 2 4 b 進 出 開 P 2 5 止 動 齒 2 6 閘 條 2 6 a 外 部 軸 2 6 b 輸 送 組 件 2 6 c 馬 達 2 7 L 接 觸 組 件 2 7 R 接 觸 組件 <請先閱讀背δ之注意事項再填寫本頁) 裝·---- - 訂·!----I < 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) r4 2 3 Ο 4 4 A7 B7 經濟部智慧財產局員工消費合作社印製 五 、發明說明(6 ) 2 8 L 基 體 2 8 R 基 體 3 0 中 間 3 0 a 密 封 3 1 水 平 3 2 馬 達 4 0 基 體 4 1 匣站 4 2 匣 5 0 移除 5 1 冷卻 發 明 之 較 佳 實 施 例 在 下 列 敘 述 中 例 0 但 是 > 本 發 明 圖 1 係 平 面 圖 處 理 設 備 的 結 構 外 理 室 1 0 R ( 第 一 ) > 裝 載 — A/t> 鎖 室 1 閥 3 0 、 基 體 輸 送 除 臂 5 0 ( 基 Μ 體 輸 處 理 室 1 0 R 高 真 空 排 氣 組件 等 內 > 諸 如 半 導 體 基 臂 台 載持器 載持器 鬧閥 組件 軸 輸送機器人 並不侷限於這些較佳 ,顯示根據本發明的 形。在圖示中,電漿 處理室)、處理室1 1、上翼2 0 U、下 機器人4 0 (基體輸 出機器人)。 及1 0 L係分別配備 之室。在這些處理室 體(例如矽晶圓)、 本發明之較佳實施 實施例。 較佳實施例之電漿 處理設備1包括處 0 L (第二處理室 翼2 0 L、中間閘 入機器人)、及移 有電漿產生組件、 1 0 R及1 0 L之 顯示基體、多晶片 <請先閱讀背面之注意事項再填寫本頁> 裳!訂-----I I I I ^ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -9- 423044 A7 _B7______ 五、發明說明(7 ) <請先閲讀背面之>i意事項再填寫本頁) 模組(M C Μ )、印刷電路板等的蝕刻、去灰、沈積、表 面重建及表面淸潔係藉由施加從電漿產生組件(未顯示於 圖中)所產生的電漿來實施。 裝載一鎖室11係設於處理室1 OR、l〇L及(1站 4 1 〔基體供應站)之間,且有助於基體W的輸入/輸出 。在上述圖形中所示的實施例中,此裝載一鎖室1 1係位 於處理室1 0R及1 0 L之間。根據此圖中所示之示例, 處理室10R、裝載_鎖室1 1、及處理室10L係按上 述次序鄰接配置而形成圓弧= 裝載一鎖室1 1經由閘板1 3 R而與處理室1 0R相 連通(圖4所示)。在此閘板13R中,上開口 14RU 及下開□ 1 4 R L分別被形成爲互相平行而延伸於水平面 上,而致使處理室10R與裝載-鎖室11相連通。裝載 _鎖室1 1也會經由類似閘板而與處理室1 0 L相通,在 該相似的閘板中,上開口及下開口分別被形成爲互相平行 而延伸於水平面上(未顯示於圖中)。 經濟部智慧財產局員Η消费合作社印製 如圖2及3所示,上翼2 0 U與下翼2 0 L彼此間隔 分開且垂直對齊。上翼2 0 U係由閛條2 1,接觸組件 22R及22L、基體載持器23R (第一載持器)及基 體載持器2 3 L (第二載持器)所形成。藉由馬達2 1 b 經由中心軸2 1 a而以轉動方式支撐閘條2 1。以此方式 ,閘條2 1會繞著裝載-鎖室1 1內的垂直軸在配置有處 理室1 0R、裝載—鎖室1 1及處理室1 〇 L的鄰接方向 上振盪(被來回驅動)。 本紙張尺度適用中國國家標準(CNS)A4規格(2〗〇χ297公釐) .1〇 . Γ4 2 3 Ο 4 4 Α7 Β7 五、發明說明(8 ) {請先閱讀背面之注意事項再填寫本頁) 接觸組件2 2R被安裝在閘條2 1的處理室1 OR側 上且被設計而使得閘板13R的上開口 14RU (圖4) 可以在當閘條2 1朝向處理室1 0 R側轉動的時候從裝載 —鎖室1 1側被氣密密封地關閉。爲了確保上述的氣密密 封,在開口 1 4RU的周圍配置密封組件1 5 (例如,〇 形環)。 接觸件2 2 L被安裝於閘條2 1的處理室1 0 L側上 ,且被類似地設計成當閘條2 1朝向處理室1 0 L側轉動 時氣密密封地關閉處理室1 0 L上的閘板開口(未顯示於 圖中)。 基體載持器2 3 R被固定在接觸組件2 2 R的處理室 1 0 R側,使得當閘條2 1轉動至處理室1 0 R側且接觸 件組2 2R使上開口 1 4RU密封時,基體載持器2 3R 被定位在處理室1 OR之內。 以相同的方式,基體載持器2 3 L被固定在接觸組件 經濟部智慧財產局貝工消費合作社印製 2 2 L的處理室1 0 L側,使得當閘條2 1轉動至處理室 1 0 L側且接觸組件2 2 L使處理室1 〇 L側閘板(未顯 示於圖中)的上開口密封時,基體載持器2 3 L被定位在 處理室1 0 L之內。 這些基體載持器'2 3 R及2 3 L被固定而使得他們維 持水平狀態,且被組以符合上開口 1 4 R U的高度位置。
如圖2所示,基體載持器2 3R及2 3 L分別包括分 支組件2 4,此分支組件2 4會延伸而形成符合諸如作爲 基體W之矽晶圓的形狀之圓弧。此外,基體載持器2 3 R -11 - 本紙張尺度適用中國國家標準(CNS>A4規格(210 X 297公釐) A7 423044¾ B7_ 五、發明說明(9 ) 及2 3 L也分別具有多個止動齒2 5。 以此方式,產生藉由分支組件2 4所圍繞之中空空間 24a。此外,藉由提供此中空空間2 4a ,可於電漿處 理時,將基體載持器2 3 R及2 3 L之存在的影響抑制至 最小。 除此之外,分支組件2 5被形成而使得其尖梢端僅以 預定的距離分開而形成進出開口 2 4 b。因此能夠藉由上 述的這些中空空間2 4 a及進出開口 2 4b,來輕易地實 施裝載一鎖室11內之基體W的輸入/輸出。 以此方式,根據如上所述包括上翼2 0 U、中心軸 2 1 a及馬達2 1 b之結構,上翼2 0U可以在裝載—鎖 室11與處理室10R之間及裝載一鎖室11與處理室 10L之間輸送基體W。 這些上翼20U、中心軸21a及馬達21b構成了 上輸送機構。 藉由閘條26、接觸組件27R及27L、基體載持 器28R (第一載持器)及基體載持器28L (第二載持 器)而以類似的方式構成下翼2 0 L。這些結構,亦即, 閘條2 6、接觸組件2 7R和2 7L、及基體載持器 2 8 R和2 8 L,係相同於上述的結構,亦即分別爲鬧條 2. 1、接觸組件22R和22L、及基體載持器23R和 23L,而因此省略其說明。 下翼2 0 L相關於上翼2 0U的不同處在於與下開口 14RL (非上開口 14RU)的對齊高度,及其中所渉 本紙張尺度適用中國國家標準(CNS)A4規格(2〗〇χ297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝.—111---訂·! ---户 經濟部智慧財產局員工消費合作社印製 -12- 經濟部智慧財產局員工消f合作社印製 4 230 4 4 *' A7 __B7__ 五、發明說明(10 ) 及的驅動方法。 如圖3所示,藉由馬達2 6 c經由外部軸2 6 a及輸 送組件2 6 b來驅動下翼2 0L。下翼2 0 L相以同於上 翼2 0 U的方式,在鄰接方向上繞著垂直軸自由地振盪( 以往復方式自由移動)。 在此情形中,中心軸2 1 a及外部軸2 6 a被設計成 彼此獨立地轉動,使得上翼2 0 U及下翼2 0 L能夠彼此 獨立地地轉動而且不會互相干擾。 有可能根據包括如上所述之下翼2 0 L、外部軸 26a、輸送組件26b及馬達26c之結構,藉由將下 翼2 0 L放置在載運一鎖室1 1與處理室1 0R之間及裝 載-鎖室11與處理室1〇L之間來輸送基體W。這些下 翼20L、外部軸26a、輸送組件26b及馬達26c 構成了下輸送機構。 在此假設,在上翼20 U被定位在處理室1 0 R側上 而下翼2 0 L被定位在處理室1 0 L側上的條件下,如果 上翼20U及下翼20L相關於處理室10R側上的閘板 1 3R而以彼此相反的方向被驅動時,則上開口 1 4RU 可以藉由上翼2 0 U的接觸組件2 2 R而被密封關閉,但 是,無法藉由下翼2 0 L的接觸組件2 7R來密封關閉下 開口 1 4 R L。 因此,在此情形中,爲了密封關閉下開口 14RL, 設置有中間閘閥3 0。如圖4及5所示,此中間閘閥3 0 會在水平方向上無限地延伸作爲接觸組件,並被提供在閘 本紙張尺度適用尹國圉家標準(CNS)A4規格(210x297公釐) _ 13_ I !^------- - 訂---I-----^ (請先閱讀背面之it意事項再填寫本頁) 經濟部智慧財i局貝工消费合作社印製 4230“ ‘ A7 ____J7__ 五、發明說明(11 ) 板14的裝載—鎖室11側上。 中間閘閥3 0被支撐而使得藉由馬達3 2經由水平軸 3 1之轉動成爲可能。在此圖中,下開口 1 4RL藉由中 間閘閥3 0經由諸如〇形環或類似物之密封組件3 0 a而 被氣密地密封。 因此,能夠選擇性地將中間閘閥3 0驅動至下述任何 位置:(1)下開口 14RL被密封關閉之位置;(2) 上開口 1 4RU及下開口 1 4RL之間的中間位置,及( 3)上開口14RU被密封關閉之位置。 此外,如圖3所示,在裝載-鎖室1 1之內,在裝載 -鎖室1 1的上及下部分中提供有二加熱器1 1 a來預熱 基體,連同讓基體輸入/輸出的進出埠1 1 b。 爲了氣密地密封進出埠1 1 b,會如圖6及7所示, 提供有大氣閘閥1 1 c ,此大氣閘閥1 1 c會經由驅動機 構1 1 d而連接至汽缸1 1 e。藉由汽缸1 1 e,大氣閘 閥1 1 c可以在進出埠1 1 b被氣密封地關閉之狀態(圖 6 )與進出埠1 1 b保持在開啓的狀態(圖7 )間被驅動 〇 舉例而言,基體輸送機器人4 0係雙臂型基體輸送機 器人,其被用來將從配置在匣站4 1中的匣4 2輸入之基 體W輸送至裝載—鎖室11= 移除臂5 0被用來將基體(亦即處理過的基體)按照 從上述裝載-鎖室1 1輸出至冷卻台5 1,當將處理過的 基體從冷卻台51送回匣42時使用基體輸送機器人40 本紙張尺度適用中國國家標準<CNS)A4規格(210 * 297公爱) -14- I iiitr.!—I—^ (請先閱讀背面L注ί項再填寫本頁) 經濟部智慧財產局S工消费合作社印製 4 2 3 0 4 4 ^ A7 ______B7___ 五、發明說明(12 ) 〇 在下面的敘述中,將參考圖8 — 1 1來說明包括上述 結構之電漿處理設備1。 首先,初始狀態係如同s 1中所示,其中上翼2 ◦ U 係位於處理室1 0 R側上,而下翼2 0 L則位於處理室 1 0L側上。在此狀態中’處理室1 0R及1 〇 L係維持 高真空狀態,而裝載一鎖室1 1則曝露於(連通至)大氣 〇 在此情形中,爲了將處理室1 0R維持在高真空狀態 ,藉由上翼2 0 U的接觸組件2 2 R來關閉處理室1 〇R 側上之閘板1 3 R的上開口 1 4 R U。除此之外’藉由中 間閘閥3 0來關閉下開口 1 4 R L。 關於處理室1 0 L的氣密保持,藉由類似組構之中間 閘閥(未顯示於圖中)及下翼2 0 L的接觸組件2 7 L來 關閉開口(未顯示於圖中)。 在此狀態中,如同S 2所示,使用基體輸送機器人 4 0,基體編號1及基體編號2被輸送(輸入)至裝載-鎖室1 1的內部。此時,舉例來說,藉由基體載持器 2 3 L支撐基體編號1,而藉由基體載持器2 8 R支撐基 體編號2。 在完成基體輸入之時,藉由大氣閘閥1 1 c關閉進出 埠1 1 b,且裝載一鎖室1 1藉由高真空排氣組件(未顯 示於圖中)而被抽真空。 如同S 3所示,當裝載-鎖室1 1的真空程度到達預 <請先Μ讀背面之注意事項再填寫本頁) 裝! —訂------·" 本紙張尺度適用中國國家標準(CNS)A4規格(210*297公釐) .15 - 42304 4 A7 B7 五、發明說明(13 ) <請先閱讀背面之注意事項再填寫本頁) 定等級時,上翼2 0 U被轉動地驅動向處理室1 〇 L ’且 由基體載持器2 3 L所支撐的基體編號1被輸送入處理室 1 0 L之內。同時,下翼2 0 L被轉動地驅動向處理室 1 0 R,且由基體載持器2 8 R所支撐的基體編號2則被 輸送入處理室1 OR之內。 當如上述般轉動上翼2 0 U及下翼2 0 L時’中間閘 閥3 0被配置在中間位置以避免干擾任一翼是很重要的。 然後在上述處理室1 OR及1 0L內實施基體編號1 及編號2各自的電漿處理。在此狀態下,自不待言,每一 開口當然藉由個別的接觸組件及中間閘閥而被氣密地密封 關閉。 基體輸入裝載-鎖室11內與基體編號1及編號2的 電漿處理平行實施。 換言之,舉例而言,不活潑氣體從淸除捧:Lli (未 經濟部智慧財產局員工消費合作社印製 顯示於圖1及2 )而被導入裝載一鎖室1 1 ,藉以產生一 種裝載-鎖室1 1可連通至大氣的狀態,在此之後,大氣 閘閥1 1 c會被開啓。接著,如同S4 — S 5所示,使用 上述基體輸送機器人4 0來輸入基體編號3及4。在此情 形中,藉由基體載持器2 8 L支撐基體編號3,而藉由基 體載持器2 3 R支撐基體編號4。當完成基體輸入時,裝 載-鎖室1 1會被抽真空。 如S 6所示,在完成基體編號1及2的電獎處理及裝 載一鎖室1 1之抽真空至預定真空等級後,上翼2 〇11被 轉動向(被轉動地驅動)處理室1 OR,而同時下翼 16 本紙張尺度適用中圉圉家標準(CNS>A4規格(210 X 297公釐) 經濟部智慧財產局員工消t合作社印製 423044 A7 ___B7_ 五、發明說明(彳4 ) 2 0 L被轉動向處理室1 〇 L。結果,處理基體編號1及 2會被輸出至裝載一鎖室1 1,且即將被處理的基體編號 3及4分別被輸送至處理室1 0 L及處理室1 0 R之內。 接著,基體編號3及4在它們個別的處理室中進行電 漿處理。基體編號1及2的移除與上述的處理平行實施。 換言之,如S7及S8所示1在裝載一鎖室11連通於大 氣之後,使用移除臂5 0將基體編號1及2輸出至冷卻台 5 1° 根據本發明,藉由移除臂5 0移除處理過的基體( S 7〜S 8 )及藉由基體輸送機器人4 0導入基體(S 9 )等二者之平行實施包括本發明的特點觀點之一(注意, 藉由基體輸送機器人4 0及移除臂5 0被獨立設置之結構 來取得上述操作)。以此方式,基體輸送機器人40能夠 處於即將被輸入之後續基體(例如,圖中的基體5及6 ) 藉由其臂支撐的待命狀態|亦即,在可快速地實施輸入之 狀態。 結果,當藉由移除臂5 0完成移除處理過的基體(基 體編號1及2 )時,能夠立即輸入下一基體(基體編號5 及6 )以便輸送至裝載一鎖室1 1之內(S 1 〇〜S 1 1 )° 之後,如同S12所示,使用基體輸送機器人40來 將處理過的基體從冷卻台5 1送回至匣4 2 = 如上所述,實施上翼2 0 U及下翼2 0 L以彼此相反 的方向轉動、處理過之基體的移除等操作。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公;g ) -17- — II--------- I I I I I I I 訂--------1 ^ . U (請先閱讀背面之注意事項再填寫本頁》 423044 * A7 ___B7___ 五、發明說明(15 ) 如上所述,因此能夠實施基體W的處理而同時維持大 的產能= (請先閱讀背面之注意事項再填寫本頁) 根據本發明之電漿處理設備,可獲得下面的功效。 根據本發明之電漿處理設備,多個輸送機構能夠實施 從裝載-鎖室至第一處理室及第二處理室之基體輸送。結 果,能夠將大量的基體輸送至這些第一及第二處理室,因 而顯著地增加其產能。 根據本發明的實施例,第一處理室、裝載-鎖室及第 二處理室係以鄰接的方式配置而形成圓弧’其中藉由轉動 多個輸送機構,將能夠以往復方式移動上述室於鄰接方向 上。因此,藉由簡單的機構,可以完成輸送機構的輸送。 根據本發明的另一實施例,各輸送機構配備有閘條、 及第一和第二載持器,因此,除了基體輸送之外’各輸送 機構也能夠關閉開口。結果,能夠簡化設備結構及獲得諸 如藉此所佔據的空間最小、縮短製造時間、減少製造成本 、減少維修人力等結果。 經濟部智慧財產局員工消費合作社印製 在上述情形中,爲了關閉適當的開口,可藉由設置中 間閘閥可使設備結構更進一步簡化’此中間閘閥被轉動以 交替開啓/關閉上開口及下開口。 此外,當設置基體輸出機器人作爲與基體輸入機器人 分離的結構時’能夠使用實施基體輸出之週期時間來獲得 準備後續基體輸入的時間。換言之,能夠平行實施基體輸 出及基體輸入,因而減少基體輸入所需之時間。於是’可 增加更多的產能。 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) _ ^ - 423044 A7 ___B7 五、發明說明(16 ) (請先閱讀背面之注意事項再填寫本頁> 除此之外,本發明的電漿處理設備並不限於上述實施 例,而且可選擇性地改變及/或修改,只要這些改變及/ 或修改在本發明的範圍及申請專利範圍之內即可。舉例而 言,下述實施例的修改亦爲可能。 (a )處理室1 0R、裝載—鎖室1 1及處理室 1 0 L可以鄰接的方式配置而形成直線來取代以鄰接的方 式形成圓弧。在此情形中,上翼20U及下翼20L係以 直線方式被往復地驅動,而不是被轉動。 (b )基體載持器的形狀,特別是分支組件的形狀, 被設計成符合基體的形狀。 (c )分別設置特別設計來實施基體送回之機器人以 取代將基體W從冷卻台5 1送回至匣4 2之基體輸送機器 人40 (基體輸入機器人)。 經濟部智慧財產局貝工消費合作社印製 (d )爲了取代作爲分離組件之裝載一鎖室1 1經由 閘板1 3R (第一連接組件)連接至處理室1 0R (第一 處理室)的結構,能夠提供任何一種下述結構:(1 )閘 板1 3R被整合入處理室1 0R內之結構;(2 )閘板 1 3R整合於裝載_鎖室1 1內的結構;或(3)閘板 1 3、處理室1 0R及裝載_鎖室1 1整合成爲單一單元 之結構。 也可取得相關於第二連接組件、第二處理室及裝載- 鎖室之關係。 本申請案係根據在曰本所申請之專利申請案號H e i 9 — 19488 *其內容於此一倂作爲參考。 本紙張尺度適用中a S家標準(CNS)A4規格(210 X 297公釐) -19-

Claims (1)

  1. A8B8C8D8 經濟部智慧財產局員工消f合作社印製 、申請專利範圍 1·—種電漿處理設備,藉由電漿放電來實施基體的 表面處理•該電漿處理設備包括·· 第一處理室及第二處理室,用以實施表面處理; 裝載-鎖室,位於該第一處理室與第二處理室之間, 藉由第一連接組件及第二連接組件分別與該第一處理室及 第二處理室連接; 多個開口,分別設置在該第一連接組件與第二連接組 件中,分別致使該第一處理室與該裝載-鎖室之間連通* 及致使該第二處理室與該裝載一鎖室之間連通;及 輸送機構,能夠藉由在該第一處理室、該裝載-鎖室 .及該第二處理室被鄰接配置之方向上,以往復方式自由 地移經該等開口,以便在該裝載-鎖室與該第一處理室之 間實施基體輸送,及在該裝載_鎖室與該第二處理室之間 實施基體輸送; 其中,多個該輸送機構係以彼此垂直的方式設置。 2 +如申請專利範圍第1項之電漿處理設備,其中該 第一處理室、該裝載-鎖室、及該第二處理室被係鄰接地 配置以形成圓弧,且該多個輸送機構係藉由被轉動,而能 夠在於該鄰接的配置方向上以往復方式自由地移動。 3 如申請專利範圍第1項之電漿處理設備,其中在 .該第一連接組件及第二連接組件的每一個中,上開口及下 開口被分別設置作爲該等開口,該上及下開口係以彼此垂 直的方式而被間隔分開; 上輸送機構及下輸送機構,設置作爲在具有該上開α -----------1 -----I I I ^ 11111--I I -· ' <請先閲讀背面之注意事項再填寫本頁> 本紙張尺度適用令國國家標準<CNS)A4規格(210 X 297公釐) -20- d23〇4 4 A8 B8 C8 D8 六、申請專利範圍 之個別層級的 輸送機構及下 及下開口 該上 閘閥,其藉由在該鄰接 而從該裝載-鎖室側交 該開口及 載持器 該第二連接組 其相對於該閘 且當該閘條關閉該第一 一處理室側之內:及用 於該閘條而被固定在該 該第二連接組件的該開 該輸送機構;及 輸送機構均在該裝 的配置方向上以往 替地開啓及關閉該 件的該開口;用於 條而被固定在該第 連接組件的該開口 於基體支撐的第二 第二處理室側上, 口時被定位在該第 載一鎖室內包括 復的方式被驅動 第一連接組件的 基體支撐的第一 —處理室側上, 時被定位在該第 載持器,其相對 且當該閘條關閉 二處理室側之內 經濟部智慧財產局員工消費合作社印製 4 ·如申請專利範圍第2項之電漿處理設備,其中在 該第一連接組件及該第二連接組件的每一個中,上開口及 下開口被分別設置作爲該等開口,該上開口及下開口係以 彼此垂直的方式而被間隔分開; 上輸送機構及下輸送機構,設置作爲在具有該上開口 及下開口之個別層級的該輸送機構:及 該上輸送機構及下輸送機構均在該裝載-鎖室內包括 閘閥,其藉由在該鄰接配置的方向上以往復的方式被驅動 而從該裝載-鎖室側交替地開啓及關閉該第一連接組件的 .該開口及該第二連接組件的該開口;用於基體支撐第一載 持器,相對於該閘條而被固定在該第一處理室側上,且當 該閘條關閉該第一連接組件的該開口時被定位在該第一處 理室側之內;及用於基體支撐的第二載持器,其相對於該 I I--— II---------I I I 訂-11111111 線 I * * <請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -21 - 0^8008 ^BaD 六、申請專利範圍 閘條而被固定在該第二處理室側上,且當該閘條關閉該第 二連接組件的該開口時被位在該第二處理室側之內。 (請先閲讀背面之注意事項再填寫本頁> 5 ·如申請專利範圍第3項之電漿處理設備,其中在 每一個該第一連接組件與該第二連接組件中,在該上開口 與該下開口之間,中間閘閥分別被設置在該裝載一鎖室側 上’以轉動方式交替地開啓及關閉該上開口及該下開口; 及 該等開口的每一個係藉由該輸送機構的該中間閘閥或 該閘條而被關閉。 6 *如申請專利範圍第4項之電漿處理設備,其中在 每一個該第一連接組件與該第二連接組件中,在該上開口 與該下開口之間,中間閘閥分別被設置在該裝載-鎖室側 上,以轉動方式交替地開啓及關閉該上開口及該下開口; 及 該等開口的每一個係藉由該輸送機構的該中間閘閥或 該閘條而被關閉。 7 ·如申請專利範圍第1項之電漿處理設備,更包括 經濟部智慧财產局員工消費合作社印製 基體輸入機器人,用以將基體從基體供應站輸送至該 裝載-鎖室;及 基體輸出機器人1與該基體輸入機器人分開設置’用 以從該裝載-鎖室輸送基體。 -22- 本紙張尺度適用中0國家標準(CNS)A4規格mo X 297公轚)
TW086117015A 1997-01-31 1997-11-14 Plasma processing apparatus TW423044B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP01948897A JP3215643B2 (ja) 1997-01-31 1997-01-31 プラズマ処理装置

Publications (1)

Publication Number Publication Date
TW423044B true TW423044B (en) 2001-02-21

Family

ID=12000751

Family Applications (1)

Application Number Title Priority Date Filing Date
TW086117015A TW423044B (en) 1997-01-31 1997-11-14 Plasma processing apparatus

Country Status (5)

Country Link
US (1) US6024800A (zh)
JP (1) JP3215643B2 (zh)
KR (1) KR100261986B1 (zh)
SG (1) SG66424A1 (zh)
TW (1) TW423044B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104008945A (zh) * 2013-02-22 2014-08-27 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001205211A (ja) * 2000-01-28 2001-07-31 Sanyo Electric Co Ltd プラズマ洗浄装置
US20030029833A1 (en) * 2000-03-20 2003-02-13 Johnson Wayne L High speed photoresist stripping chamber
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US20040221811A1 (en) * 2001-11-30 2004-11-11 Robert Mitchell Method and apparatus for processing wafers
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US7680557B2 (en) * 2004-09-22 2010-03-16 Hantech Co., Ltd. System for processing semiconductor substrate by using laser and method of the same
US7467916B2 (en) * 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
KR100821781B1 (ko) * 2005-08-05 2008-04-11 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 플라즈마 처리 장치
US7534080B2 (en) * 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100699539B1 (ko) * 2006-03-31 2007-03-23 세메스 주식회사 기판 처리 시스템 및 이를 이용한 기판 처리 방법
WO2008072997A1 (fr) * 2006-12-15 2008-06-19 'nauchnoe I Tekhnologicheskoe Oborudovanie' Limited Installation d'attaque au plasma de plaques semi-conductrices et/ou de formation de films diélectriques sur celles-ci
CN101740441B (zh) * 2008-11-04 2012-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 一种机械手调度方法、装置及等离子体处理设备
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
CN208240622U (zh) * 2015-05-22 2018-12-14 应用材料公司 用于装载及卸载基板的负载锁定腔室和直列基板处理系统
DE102017105374A1 (de) * 2017-03-14 2018-09-20 Aixtron Se Vorrichtung zum Abscheiden einer strukturierten Schicht auf einem Substrat sowie Verfahren zum Einrichten der Vorrichtung
DE102017105379A1 (de) 2017-03-14 2018-09-20 Aixtron Se Substrathalteranordnung mit Maskenträger
KR102552467B1 (ko) * 2020-10-15 2023-07-05 세메스 주식회사 다이 표면 처리 장치 및 이를 구비하는 다이 본딩 시스템

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US5021138A (en) * 1985-01-17 1991-06-04 Babu Suryadevara V Side source center sink plasma reactor
JPS61168922A (ja) * 1985-01-17 1986-07-30 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション プラズマ・エツチング装置
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
JPH0825151B2 (ja) * 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
JP3466607B2 (ja) * 1989-09-13 2003-11-17 ソニー株式会社 スパッタリング装置
KR950010044B1 (ko) * 1990-06-27 1995-09-06 후지쓰 가부시끼가이샤 반도체 집적회로의 제조방법 및 그에 사용된 제조장치
KR0129582B1 (ko) * 1994-06-23 1998-04-06 김주용 다중 기판 전달 장치
JP3165348B2 (ja) * 1995-05-18 2001-05-14 ワイエイシイ株式会社 プラズマ処理装置およびその運転方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104008945A (zh) * 2013-02-22 2014-08-27 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
CN104008945B (zh) * 2013-02-22 2016-06-01 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法

Also Published As

Publication number Publication date
KR100261986B1 (ko) 2000-08-01
KR19980070132A (ko) 1998-10-26
US6024800A (en) 2000-02-15
JP3215643B2 (ja) 2001-10-09
SG66424A1 (en) 1999-07-20
JPH10219455A (ja) 1998-08-18

Similar Documents

Publication Publication Date Title
TW423044B (en) Plasma processing apparatus
JP4763841B2 (ja) 基板処理装置および半導体装置の製造方法
KR100639765B1 (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
KR20000047598A (ko) 기판 처리 장치
JP2003059999A (ja) 処理システム
JP3966594B2 (ja) 予備真空室およびそれを用いた真空処理装置
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
WO2000028587A1 (fr) Dispositif de traitement
US20020197145A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
JP4256551B2 (ja) 真空処理システム
JP3323797B2 (ja) 疎水化処理装置
JPH09104982A (ja) 基板処理装置
JP2000208589A (ja) 処理装置
TW201909321A (zh) 真空搬送模組及基板處理裝置
JP2009054859A (ja) 基板受入装置及び基板受入方法
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2014067797A (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
JP2004119627A (ja) 半導体製造装置
JP2002173775A (ja) 半導体製造装置および半導体装置の製造方法
JP7209503B2 (ja) 基板処理装置および基板処理方法
JP3665716B2 (ja) 処理システム
JP2000323549A (ja) 真空処理装置
JP2002246436A (ja) 基板処理装置
JP2001044267A (ja) 真空容器ロードロック装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees