TW419711B - Semiconductor device and its manufacture - Google Patents

Semiconductor device and its manufacture Download PDF

Info

Publication number
TW419711B
TW419711B TW088115135A TW88115135A TW419711B TW 419711 B TW419711 B TW 419711B TW 088115135 A TW088115135 A TW 088115135A TW 88115135 A TW88115135 A TW 88115135A TW 419711 B TW419711 B TW 419711B
Authority
TW
Taiwan
Prior art keywords
layer
aluminum
semiconductor device
patent application
temperature
Prior art date
Application number
TW088115135A
Other languages
English (en)
Inventor
Eiji Suzuki
Kazumi Matsumoto
Michio Asahina
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Application granted granted Critical
Publication of TW419711B publication Critical patent/TW419711B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

經濟部智慧財產局員工消費合作社印製 ^isil 1 A7 ——____ B7 _五、發明說明(1 ) * @業上之利用領域 本發明關於半導體裝置及其製造方法,特別關於可細 微化,且具備具良好耐電子遷移性之鋁合金所構成配線層 的半導體裝置及真製造方法。 習知技術 L S I等半導體裝置中,伴隨元件之細微化 '高密度 化及多層化,縱橫比較大之貫穿孔爲必要。此種貫穿孔之 配線材料之埋入困難,近年來成爲重要課題。因此,配線 材料嘗試以有用之鋁或鋁合金埋入貫穿孔內。 但是,依習知方法’將鋁或鋁合金埋入貫穿孔內時’ 濺射時要求高溫,溫度越高鬚晶(whisker )成長引起配線 間短路,或貫穿孔中之配線層中之鋁與基板中之矽反應, 容易產生漏電等電氣問題。又’隨元件之細微化及高密度 化,要求沈積層之更平坦化’及配線層之薄膜化。但配線 層之膜厚薄時,電子遷移耐性降低,習知使用之鋁合金材 料無法有效對應。 發明槪要 本發明目的爲提供一種藉使用特定鋁合金之配線材料 ,在不產生間隙或斷線下使鋁合金可埋入貫穿孔’且具良 好電子遷移耐性之半導體裝置及其製造方法。 本發明之半導體裝置,係包含:具元件之半導體基板 、至少1層之金屬配線層、及層間絕緣層: {請先Μ讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 五、發明說明(2 ) 上述金屬配線層,係以鋁爲主成份,且含0 . 1 — 3 重量%比例之鈹(B e )。 (請先閲讀背面之注意事項再填寫本頁> 於該半導體裝置中,使用含特定量之鈹之鋁合金爲金 屬配線材料,則苛得具良好電子遷移耐性之金屬配線層。 其理由未必明確,不過可考慮爲因鈹合金(例如鈹-銅合 金、鋁-鈹一銅合金)分離出鋁之粒界,而阻礙鋁之電子 遷移。 又,鈹具吸收氧之特性,因此,例如構成層間絕緣層 之氧化矽層所發生存在於鋁合金中之氧被鈹吸收而使鋁之 流動性提升,貫穿孔內之鋁合金之埋入性亦可提升。 又,微量之鈦(丁 i )或鎂(Mg )可提升氧之吸收 效果,鋁合金之貫穿孔埋入性更能提升。Mg及Τι ,其 至少一方含0 . 1 - 3重量%之比例較好。 又,上述層間絕緣層較好爲藉熱處理除去氣體化成份 者。其理由於後述。但藉層間絕緣層之汽化成份之除去, 可形成具良好段差覆蓋率之鋁合金構成之接觸部。 經濟部智慧財產局員工消費合作社印製 於該半導體裝置中,該阻隔層,較好包含構成該阻隔 層之金屬氧化物以島狀分布之狀態。 依此構成,則和未含上述金屬氧化物之情況比較,可 提升阻隔層之阻隔性。 其理由如下。習知之例如含鈦之阻隔層係處於非晶質 狀態。但依本發明人硏究得知,本發明之阻隔層,在結晶 構造混亂之鈦氮化物層中二氧化太(Τ 1 0 0 2 )之結晶粒 f-隨機分布呈島狀。二氧化鈦爲幾乎完全之絕緣體,且鋁 -5 - 本紙張尺度適用中囤國家標準(CNS)A4規格(210 X 297公釐) 4»S7I J A7 B7 五、發明說明(3 ) (請先閲讀背面之注意事項再填寫本頁) 金屬等之擴散極不容易產生之物質。藉此種二氧化鈦之粒 子以島狀分布於阻隔層,構成阻隔層之鈦氮化物層之結晶 構造呈混亂,以阻隔通過其粒界(結晶粒子間之境界)而 擴散之鋁之進入'。結果,阻隔層之阻隔性大幅提升。又, 此現象,於阻隔層含鈷(C 〇 )或鎢(W)等金屬時亦同 樣產生。 構成上述阻隔層之金屬氧化物,係以島狀分散存在於 阻隔層中,因此不會阻礙阻隔層之導電性。換言之,上述 阻隔層所含金屬氧化物之粒子,較好以不致阻礙阻隔層之 島導電性狀態下分布。 構成上述阻隔層之金屬氧化物粒子,考慮其阻隔性及 導電性時,平均粒徑較好爲2 - 2 0 n m。 本發明之半導體裝置之製造方法,係關於包含具元件 之半導體基板、及至少1層金屬配線層、及至少1層之層 間絕緣層之半導體裝置之製造方法;其包含有以下工程: (a )於層間絕緣層形成貫穿孔的工程; 經濟部智慧財產局員工消費合作社印製 .(b )於上述層間絕緣層之上及貫穿孔肉部,沈積以 鋁爲主成份’且包含0 . 1 _3重量%比例之鈹的金屬層 以形成金屬配線層的工程。 ’ . 依本發明之製造方法’如上述鋁合金之流動性可提升 ,結果’鋁合金層濺射時溫度可下降。因此,高溫產生之 鋁單晶成長引起之鬚晶可被抑制,配線層間之短路可防止 。又,藉鋁合金層濺射時溫度之下降,於包含元件之基板 上之接觸孔中’配線層中之鋁與基板中之矽之反應可被抑 -6 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公笼) 經濟部智慧財產局員工消費合作社印製 痛1 9 7 J韌 A7 _______B7_五、發明說明(4 ) 制’不易產生漏電等電氣特性問題。 上述工程C b )中’上述金屬配線層,係於5 〇 〇°c 以下溫度’使用以鋁爲主成份,且至少包含〇 . 1 _3重 量%比例之鈹之备靶材料之濺射法形成較好。上述標靶材 料’必要時可包含0 · 1 — 3重量%比例之Mg或T i之 任一方,再必要時可含銅或矽。 上述工程(b )中,較好是上述金屬配線層係藉由: 在2 0 〇°C以下溫度,形成以鋁爲主成份之合金構成之第 1鋁層的工程;及於上述第1鋁層上,在3 0 0 — 5 0 0 C溫度下,形成以鋁爲主成份之合金構成之第2鋁層的工 程所形成= 在2 0 Ot以下,較好爲3 0 - 1 00 °C之溫度下, 形成地1鋁層,則上述層間絕緣層、及層間絕緣層與鋁層 之間存在之中間層所含氣體化成分之汽化可被抑制。此次 ,中間層爲,阻隔層、濕潤層(wemng )等層間絕緣層與 鋁層間存在之層。藉抑制由中間層發生於外部之氣體,可 防止該中間層之溼潤性。結果,第1鋁層相對中間層可良 好密接,段差覆蓋率良好之成膜爲可能。 因該第1鋁層之存在,即使基板溫度上升時,來自較 第1鋁層更下層之層間絕緣層及阻隔層等中間層之氣體之 產生可被抑制。因此’於第2鋁層之成膜工程’可以較高 溫、即鋁合金可流動擴散之較高溫度’較好爲3 0 ◦-5 0 0 t,更好·爲350 — 450 t之下形成第2鋁層。 如上述,於工程(b),藉以較低溫形成第1鋁層之 u n I n I ***Λ> I* 1 1- I n n n ·1 I * j ' (請先閱讀背面之注意事項再填寫本頁》 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
4 ί s 7 I ] 419 7 UJ A7 經濟部智慧財產局員工消費合作社印製 —____B7五、發明說明(5 ) 工程,及以較高溫形成第2鋁層之工程,則空隙不致發生 情況下,良好段差覆蓋率之貫穿孔之埋入爲可能。又,本 發明之製造方法可適用口徑0.2um之貫穿孔。 上述工程(ί))中之鋁層之成膜,第1鋁層與第2鋁 層係於同一腔室內.連續形成。藉同一腔室內連續進行鋁層 之成膜,則基板溫度之控制容易,同時環境之控制正確, 第1鋁層鳔面形成氧化層等不良情況可改善。 本發明之製造方法中,在第1鋁層形成前,姣好將基 板溫度冷卻至1 0 0 °C以下,較好爲常溫一5 0 °C。於該 工程藉基板溫度之冷卻,則第1鋁層織成膜前基板溫度可 充分降下。後述之脫氣工程中,基板溫度較好設爲3 0 0 C以上之高溫》因此於此工程藉基板溫度之確實降低,則 以後工程(b )之溫度調節可確實進行。 本發明之製造方法中,於上述工程(b )後,進行 2 0 0 - 5 0 Ot之熱處理較好。藉該熱處理,鋁所含鈹 容易分離出= 又,上述工程(a )之後,較好包含在減壓下進行 3 0 0 - 5 5 0 °C之基板溫度熱處理,以除去層間絕緣層 所含氣體成分之脫氣工程。藉由層間絕緣層所含汽化成份 之除去工程,則在後續工程,例如3 0 〇 °C以上之高溫條 件下進行之第2鋁層形成工程,可抑制層間絕緣層所含水 '氮、氫、或氧等氣體之發生。 依本發明可確認,層間絕緣層所發生之氣體’爲例如 阻隔層或溼潤層等中間層所吸收,而不被貫穿孔內之鋁層 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) ^ H ^1 ϋ I vf Λ * I* 1 I ^1- t— IB f } < > (請先閱讀背面之注意事項再填寫本頁) 41971 |j 419711 a? _^_ B7 五、發明說明(6 ) (請先Μ讀背面之注意事項再填寫本頁> 吸收。因此,藉層間絕緣層所含汽化成份之除去,可抑制 該氣體存在於中間層與第1鋁層間所引起中間層之溼潤性 下降或空隙之發生。結果,於貫穿孔內可形成段差覆蓋率 良好且低電阻之豳層所構成接觸部。 此處,汽化成份意指,例如在減壓下,基板溫度約 3 0 0 °C以上時,由沈積層、即層間絕緣層或中間層發生 之水、氫、氧、或氮等汽化成份。又’減壓下意指,較好 爲0.Ι—lPa之壓力。 本發明之製造方法中’較好具有至少於第1層(半導 體基板上)層間絕緣層及形成於該層間絕緣層之貫穿孔表 面形成阻隔層的工程;該阻隔層’係在構成上述阻隔層用 之層中導入氣,使構成該層之.金屬氧化物呈島狀分布地形 成c 此工程中’第1方法爲,沈積構成阻隔層用之層後’ 減壓下將該層曝露於氧電梁中之後’進丫了退火處理’或弟 2方法爲1沈積構成阻隔層用之層後,於氧環境中對該層 進行熱處理。 經濟部智慧財產局員工消費合作社印製 (發明之較佳實施例之說明) 圖1 A - C爲本發明之半導體裝置之製造方法及半導 體裝置之一實施例說明用之槪略斷面圖。 以下,說明半導體裝置之製造方法之一例。 (A )元件之形成 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公S ) ^9* 經濟部智慧財產局員工消費合作社印製 419711 at __B7 五、發明說明(7 ) 首先’以一般方法於矽基板1 1形成M〇 S元件。具 體言之爲’例如於矽基板1 1上以選擇氧化形成場絕緣層 1 2 ,於主動領域形成閘極氧化層1 3。藉通道注入調整 臨界値電壓後,對甲矽烷(S i Η 4 )熱分解成長之多晶砂 層上濺射鎢矽化物層 > 再積層矽氧化層1 8蝕刻成特定圖 型以形成閘極1 4。此時,必要時可於場絕緣層1 2上形 成由多晶矽層及鎢矽化物層構成之配線層3 7。 其次,注入磷離子形成源極領域或汲極領域之低濃度 雜質層1 5。之後,於閘極1 4側形成由矽氧化層構成之 側壁間隔物1 7後,注入砷離子,藉使用鹵素燈之退火處 理進行雜質之活性化,俾形成源極領域或汲極領域之高濃 度雜質層1 6。 之後,成長1 0 0 n m以下之氣相成長矽氧化層,於 H F與N Η ! F之混合水溶液進行選擇性蝕刻,使特定之矽 基板領域露出。之後’例如,灘射約3 0 — 1 0 0 n m厚 之鈦膜,在氧控制於5 0 p pm以下之氮環境中以6 5 ◦ -7 5 0 t:之溫度進行約數秒- 6 0秒之瞬間退火,俾於 開□之矽基板表面形成鈦之單矽化物層,於矽氧化層1 8 上形成濃鈦之鈦氮化物層》之後,浸漬於N Η 4 Ο Η與 Η 2 0 2之混合水溶液中,則上述鈦氮化物層被蝕刻除去, 僅於矽基板表面殘留鈦之單矽化物層。再進行7 5 0 -8 5 0 °C之燈泡退火,使上述單矽化物層複矽化物化,俾 於高濃度雜質層1 6表面以自動整合方式形成鈦矽化物層 1 9 - ---* I ----I I I I I - — — — 111 — - — till — — · (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS>A4規格(210 * 297公釐) 經濟部智慧財產局員工消費合作社·印製 419711 A7 p._____B7 五、發明說明(8 ) 又,閘極1 4僅以多晶矽層形成藉選擇蝕刻使露出時 ’成爲閘極與源極領域、汲極領域之兩者被以側壁間隔物 分離之鈦氮化物構造。 (B )(層間絕緣層之形成) 其次’層間絕緣層,首先、使TEO S與氧進行電漿 反應’形成膜厚1 00-200nm之矽氧化層20。該 矽氧化層2 0爲’不存在矽化物層1 9之氧化,絕緣性較 以S i Η 成長之層爲高,對氟化氫水溶液之蝕刻速度慢, 較細密之層。 此處,係於鈦矽化物層1 9上直接形成矽氧化層,但 若此時之成膜溫度商時,成膜初期氧化性氣體與欽较化物 層簡單反應容易產生裂痕或剝離,因此處理溫度較好爲 6 ◦ 0艺以下,更好爲2 5 0 — 4 0 0 5C。於鈦矽化物層 1 9上以上述較低溫度形成厚約1 0 0 n m之矽氧化層後 ,於水蒸汽以外之氧化環境中再進行退火或氣相氧化處理 時,即使溫度上升至約9 0 0 °C亦不會有問題。 又 '層間絕緣層,例如於上述砂氧化層2 0上令包含 S iHiSTEOS等之矽烷化合物、及氧或臭氧等、及磷 及硼之氣體做氣相反應,以形成膜厚約數百n m - 1 um 之:8卩3〇層3之後,於氮環境中進行800 — 900 t 之退火,進行高溫回流使平坦化。又,取代B P S G層 3 0之高溫回流,改使用一般之S OG層進行平坦化亦可 本紙張尺度適用中國國家標準(CNS)A4規格(2W X 297公釐) — — — — — — — —— — — — — ^ · I I I I t 1 I — — — — — —— —— — ί ί靖先閱讀背面之注意亊項再填寫本頁} A7 4 1971.1 _ B7_ 五、發明說明(9 ) 又,取代上述BPSG層30 ,改使用本案申請人申 請之例如特願平9 — 3 2 4 5 1 8號所記載之,使矽化合 物與過氧化氫進行化學氣相成長反應而形成之矽氧化層( 以下稱爲第1矽k化層)亦可。該矽氧化層,本身具高流 動性,具較佳自然平坦性。其原因爲,矽氧化層與過氧化 氫進行化學氣相成長反應時,於氣相中形成矽烷醇,藉該 矽烷醇沈積於晶圓表面而形成流動性佳之層。以下說明該 矽氧化層技術之要點。 例如,使用甲矽烷作爲矽化物時,依下式(1 )、( 1 )’所示反應形成矽烷醇。 式(1 ) S i H4+2H2O2— S i (OH) 4 + 2Ha 式(1 ) ’
SiH 二 3H2〇2— Si (OH) 4 + 2H2〇 + H2 式(1)及式(1)’形成之矽烷醇,因下式(2) 所示縮聚反應使水脫離而成矽氧化物。 式(2 )
Si C〇H) 1—^-S i O2+2H2O 上述矽化物有例如甲矽烷、乙矽烷、S i Η 2 C 1 2、 S 1 ^,等無機矽烷化物、及CH3S 1 H3、三丙矽烷、 四乙氧基矽烷等有機矽烷化物等。 又’該矽氧化層之成膜工程,當上述矽化物爲無機矽 化物時,係在0 - 2 0 °C溫度條件下,當上述矽化物爲有 機矽化物時’係在1 0 0 - 1 5 0 °C溫度條件下,藉減壓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------- *^·! — — ··— 訂·! „ < - {請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -12- 41971 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(1〇) 化學氣相成長法進行。於該成膜工程,當溫度高於上述上 限値時,上述式\ 2 )之縮聚反應過度進行,導致第1砂 氧化層之流動性變低,較難得良好平坦性。又,當溫度低 於上述下限値時·,腔室內之分解水分之吸附及腔室外之結 露發生,成膜裝置之控制困難等不佳現象產生。 上述第1矽氧化層,較好以足過覆蓋矽基板表面之段 差之膜厚形成。第1矽氧化層之膜厚,其下限値依存於砂 基板表面之凹凸高度,但較好爲3 0 0 — 1 0 0 0 nm。 第1矽氧化層之膜厚大於上述上限値時,因層本身應力將 產生裂痕。 於上述第1矽氧化層之上,藉化學氣相成長法使矽化 物、氧及包含氧之化合物之至少1種,及含雜質之化合物 做反應以形成多孔性第2矽氧化層較好。 該第2矽氧化層,除作爲蓋層之外,因爲多孔性,在 後續之退火處理時可將第1矽氧化層產生之氣體成份緩慢 排出外部。又,該第2矽氧化層,除多孔性之外,於該層 添加硼等雜質,較好爲磷,可減弱構成該層之矽氧化層之 S 1 - 0分子間結合力,緩和其應力,換言之,可構成適 度柔軟且不易龜裂之層。又’上述第2矽氧化層之重要機 能爲,該矽氧化層所含磷等雜質作爲對鹼離子等元件之信 賴特性帶來不良影響之可動離子之吸氣機能。該第2矽氧 化層所含雜質濃度,考慮上述吸氣機能或層之應力緩和之 點時,較好爲1 ‘一 6重量%。 又,該第2矽氧化層,具l〇〇_60〇MPa壓縮 本紙張尺度適用中國國家標準(CNS>A4規格(210 X 297公釐) -13- I I ϋ n I I ϋ n n n )&J ϋ n ϋ ϋ I - ' (請先w讀背面之注意事項再填寫本頁) A7 B7 五、發明說明(11) (請先Μ讀背面之注意事項再填寫本頁> 應力,可防止第1矽氧化層縮聚反應時拉伸應力增大引起 龜裂之現象。又,第2矽氧化層亦具防止第1矽氧化層之 吸濕機能。 第2矽氧化詹,較好在3 0 0 — 4 5 0°C溫度下,進 行1 ΜΗ z以下之.高頻電漿化學氣相成長法而成。藉在該 溫度條件下成膜,則於後續退火處理,氣體成份於退火初 期階段容易排出,元件信賴性可提升。 又,第2矽氧化層形成時使用之含氧化合物,較好爲 N 2 0 ( —氧化二氮)。藉使用N 2 0爲反應氣體,則電漿 狀態中之N 2 0容易與構成第1矽氧化層之矽化合物之氫鍵 (- H)反應•故第2矽氧化層於成膜中亦可促進第1矽 氧化層之氣化成份(氫,水)之脫離。又,取代電漿化學 氣相成長法,第2矽氧化層亦可藉3 0 0 - 5 5 Ot溫度 下之常壓化學氣相成長法形成,此時,上述含氧之化合物 較好爲臭氧。 經濟部智慧財產局員工消費合作社印製 又,上述第2矽氧化層成膜前,較好令上述第1矽氧 化層曝晒於臭氧環境中。經由此工程,則臭氧與構成該第 1矽氧化層之矽化合物之氫鍵(一 H)或羥基(一 OH) 容易反應,可促進第1矽氧化層中之氫或水之脫離。 又,第2矽氧化層之膜厚,考慮平坦性、龜裂防止等 較好1 0 0 n m以上。 第1矽氧化層及第2矽氧化層形成後,於6 0 0 -8 5 0 °C溫度下進行退火處理,則第1矽氧化層及第2矽 氧化罾變細密化,絕緣性及耐濕性可提升。例如就第1矽 -14- 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐) 4ί97[υ Α7 419711 Β7 五、發明說明(12 ) 氧化層而言,於該退火處理初期,上述式(2 )之縮聚反 應完了,伴隨該反應產生之水或氫介由第2矽氧化層之孔 排至外部,第1矽氧化層可在氣化成份充分除去狀態下細 密形成。又,第_2矽氧化層,因退火處理由多孔質變爲細 密層。 退火處理時,溫度設爲6 0 0 °C以上,則第1矽氧化 層及第2矽氧化層可充分細密化之同時,例如構成Μ 0 S 元件之源極領域、汲極領域擴散層之雜質可充分活性化。 藉由退火溫度設爲8 5 0 °C以下,則較B P S G層必要之 溫度爲更低之溫度下使層間絕緣層之平坦化爲可能,同時 第1矽氧化層及第2矽氧化層可細密化。又,退火溫度大 於8 5 0 °C時,源極領域、汲極領域擴散層擴大爲必要以 上,引起貫穿等問題,元件之細微化困難。 藉於第1矽氧化層上形成第2矽氧化層,於退火處理 時,即使晶圓直接置於6 0 0 _ 8 5 0 °C溫度下等急速溫 度變化時,因上述第2矽氧化層具適度柔軟性,可吸收第 1矽氧化層之應力,故該第1矽氧化層可於不產生龜裂情 況下進行退火處理。 (貫穿孔之形成) 之後,以C H F 3及C F _·!爲主氣體之反應性離子蝕刻 器對構成層間絕緣層之B P S G層3 0及矽氧化層2 〇施 予選擇性異方性蝕刻,形成口徑〇 _ 2 — 0 _ 5之貫穿孔 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公« ) — In — — — — — — — — — ·1111111 — — — — — —— I— . - (請先閱讀背面之注意事項再填t本頁) 經濟部智慧財產局員工消費合作社印製 -15- Α7 4J97—Ιϊ __Β7_ 五、發明說明(13) (c )(脫氣處理) 以下說明包含脫氣工程之熱處理。 首先,在燈會腔室內於1.5xi〇—4PPa以下之 基礎壓力、150 — 250 °C溫度下施予30-60秒之 燈管加熱(熱處理A )。之後,於另一腔室內以1 X lOd—lSxlO^Pa之壓力下導入Ar氣,在約 300 - 550 °C溫度下,進行30 — 120秒之熱處理 (脫氣工程;熱處理B ),以進行脫氣處理。 此工程中,首先於熱處理A,主要對包蛤晶圓背面及 側面之晶圓全體施予加熱,以除去附於晶圓之水分等。 之後,於熱處理B,主要除去構成層間絕緣層之 BPSG層30中之氣化成份(氧、氫、水、氮)。結果 1於後續工程之阻隔層及鋁層形成時,可防止來自 Ϊ3 P S G層3 0之氣化成份之產生。 於本實施形態中1阻隔層3 3係由具阻隔機能之第1 阻隔署及導電層形成之第2阻隔層構成。第2阻隔層係形 成於高電阻之第1阻隔層與矽基板所形成雜質擴散層、即 爲提升與源極領域或汲極領域間之導電性’而形成於第1 阻隔層與雜質擴散層之間。第1阻隔層’較好使用一般物 質例如鈦、鈷等氮化物。導電層構成之第2阻隔層’可使 用鈦、鈷等高熔點金屬。該鈦及鈷與構成基板之矽反應而 成矽化物。 阻隔層,例如鈦氮化物層/鈦層係將數十原子%之氣 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) '16* ϋ n n I— I * I» n 1 I I I n I f (請先M讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 A7
4197JJ ____B7 五、發明說明(14 ) 化成份(氧、氬 '水、氮)固溶後’在該層形成之前除去 層間絕緣層之B P S G層3 0中之氣化成份,對於在貫穿 孔內良好鋁層之成膜極爲有效。阻隔層下層之B P S G層 3 0中之氣化成奋未充分除去時’在阻隔層形成時之溫度 下(通常爲300 t以上),BPSG層30中之氣化成 ^份被排出,該氣體將被吸入阻隔層。該氣體於鋁層成膜時 ’將由阻隔層脫離由阻隔層與鋁層之界面排出’對鋁層之 密接性或流動性有不良影響。 (阻隔層之成膜) 藉濺射法形成2 0 - 7 0 nm厚之鈦層作爲構成阻隔 層3 3之第2阻隔層,之後於另一腔室’形成3 0 — 1 5 0 n m厚之鈦氮化物層做爲第1阻隔層。濺射溫度爲 依膜厚可選擇2 0 0 - 4 5 0°C範圍。 其次,在0 . lxl〇2-l . 5xl02Pa壓力下 ,於氧電漿中曝曬10—100秒’之後,於450 — 7 0 0°C之氮或氫環境下進行1 0 - 6 0分鐘之退火處理 ,俾於阻隔層之鈦氮化物層中形成島狀鈦氧化物。藉由該 處理即可提升阻隔層之阻隔性。 又|於阻隔層形成島狀鈦氧化物之方法,在包含至少 數百p pm -數%氧之燈管退火爐中進行4 0 0 — 8 0 0 ΐ之熱處理亦可,同樣可提升阻隔層之阻隔性。 本實施形態中,分布於阻隔層之金屬氧化物係以鈦氧 化物做說明,但上述氧化物使用用於阻隔層之其他金屬例 -I---- - I I ----v·^ — — — —— — If ·1111111 II λ <請先閱讀背面之注§項再填寫本頁) 經濟部智慧財產局員工消費合作社.印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -17- 經濟部智慧財產局員工消費合作社印製 4I97U A7 B7 五、發明說明(15) 如鈷、鎢等亦可= 使用鈷時,於不同腔室以濺射法形成5 _ 3 0 nm之 鈷層、及2 0 - 1 0 0 nm厚之銘氮化物層,再藉由上述 鈦情況之同樣熱歲理於鈷淡化物層中形成鈷氧化物以島狀 分布之阻隔層。該阻隔層,確認亦可得良好阻隔性。又, 鎢之情況亦確認可得同樣構造及作用。 阻隔層形成後,在後述晶圓冷卻工程前形成溼潤層、 例如鈦層亦可。 本發明中,上述阻隔層較好爲包含從鈦、鈷、鎢、及 該金屬之氮化物中選擇之至少一種,特別是鈷、鎢形成之 金屬層 '與該金屬之氮化物層所積層之積層構造爲較好。 例如阻隔層由鈦層及鈦氮化物層構成時,基板之雜質擴散 層與配線層間之接觸部之層構造,實質上具有鈦矽化物層 、鈦氮化物之結晶層、鈦氧化物粒子以島狀包含之結晶構 造紊亂之鈦氮化物層。 (鋁層之成膜之熱處理及晶圓之冷卻) 首先,進行晶圓冷卻前,於燈管腔室內,於1 · 5 X 10 4Pa以下基礎壓力下,於150 — 250 °C溫度下 ,進行3 0 - 6 0秒熱處理(熱處理C ) ’除去附於基板 之水等物質。之後,鋁層成膜前,基板溫度降至1 0 0°C ,較好爲常溫- 5 0 °C溫度以下。該冷卻處理’對上述熱 處理C引起之上升之基板溫度之下降爲重要者,於具水冷 機能之載置台上載置晶圓使該晶圓冷卻至特定溫度。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -Ί8- --- - - - - - -- - - - - - I I I I I II ·11111111 I (請先閱讀背面之注意事項再填寫本頁) J 4t97if 419711 五、發明說明(16) (請先閱讀背面之注意事項再填寫本頁) 如上述藉進行晶圓冷卻,於第1鋁層成膜時,可使 BPSG層30及其阻隔層33、甚至晶圓全體所排出之 氣體量儘可能減少。結果,可防止阻隔層3 3與第1鋁層 之界面吸附之有#覆蓋性或密接性之氣體之影響。 該冷卻工程,較好並用具多數同一構成腔室之鋁膜成 膜用之濺射裝置。例如,較好將基板載置於濺射裝置內之 具水冷機能之載置台上,使該基板溫度降至特定溫度。以 下,詳述該冷卻工程。 圖2 A爲包含具水冷機能之載置台的濺射裝置之一例 之模式圖。圖2 B爲載置台之一例之平面圖。 經濟部智慧財產局員工消費合作社印製 該濺射裝置爲具多數同一腔室5 0者。腔室5 0內具 兼做電極之標靶5 1及兼做載置台之電極5 2,於電極 5 2設置冷卻用基板(晶圓)W。於腔室5 0內設使腔室 內設爲減壓狀態之排氣裝置6 0及鋁濺射時將氣體供至腔 室內的第1氣體供給路5 3。電極5 2,係將基板W載置 台於電極5 2上時,使基板W與電極5 2間產生特定空間 般,具體如突2 B所示,沿電極5 2上面外周部分設突起 狀支持部5 2 a。於電極5 2連接第2氣體供給路5 4。 熱傳導媒體之氣體,例如A r氣體,經由第2氣體供給路 54供至電極52與基板W間之空間。又,電極52,兼 做基板W冷卻用之冷卻系統用。電極5 2 ,係將冷媒供給 路5 6供給之冷媒藉例如水之還流調節爲一定溫度。電極 5 2之上面,如‘圖2 ( b )所示,爲對上述空間供給均一 氣體,而設有特定形狀之溝5 8 ,於溝5 8之交叉部分設 -T9- 本紙張尺度適用t國國家標準(CNS)A4規格(210 X 297公釐) A7 B7 五、發明說明(17) 第2氣體供給路5 4之排出口 5 4a。 上述濺射裝置如下動作,將晶圓冷卻。 藉排氣裝置60將腔室50內設爲6X10 6Pa以 下之減壓狀態,蔣基板W載置於電極5 2之突起狀支持部 5 2 a上。將作爲.電極5 2與基板W間之熱傳導煤體之氣 體,經由第2氣體供給路5 4導入電極5 2與基板W間之 空間,保持該空間壓力於600 — looopa ,令由該 空間漏出於腔室內之氣體經由排氣裝置6 0排出,使基板 W冷卻。 基板W冷卻時,爲保持冷卻效率,電極5 2與基板W 間需保持某一程度之壓力。亦即,爲提升基板W之冷卻效 率,電極5 2與基板W間之熱電導需提升,因此有必要增 大電極5 2與基板W間之空間氣體(熱傳導煤體)之壓力 ύ 基板W之冷卻方法可考慮,於真空腔室,將基板W載 置於具腔室內之冷卻機構的載置台上以進行冷卻之方法。 依該冷卻工程,並非直接供給氣體至載置台與基板W間之 空間,而是爲使該空間之壓力依存於腔室內之壓力|爲提 升載置台與基板W間之空間之壓力,有必要提升腔室內之 壓力。但是,若爲提升冷卻效率,而提升腔室內壓力,則 僅增加腔室內之氣體分子,基板W上面易被氣體分子污染 ,如此則有害鋁之回流,容易產生空隙或造成配線高電阻 化。反之,若爲防止晶圓污染,而降低腔室內壓力 '則晶 圓與載置台間之空間之壓力亦隨之降低,如此則晶圓與載 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---— — mil — — Λ — (請先閱讀背面之注意事項再填寫本頁) 訂: -.線· 經濟部智慧財產局貝工消費合作社印製 -20- A7 419711 B7_ 五、發明說明(18 ) 置台間之熱電導性降低,結果,對冷去效率有不良影響。 依上述本實施形態之冷卻工程,使氣體流入電極5 2 與基板W之背面間,如此則爲確保電極5 2與基板W間之 空間之壓力,該&間之壓力可獨立於腔室內壓力被控制。 如此則藉由確保基板W與載置台間之熱傳導煤體之壓力於 特定値,可將腔室內壓力,獨立於上述空間之壓力,抑制 於1X10_3_0 . IPa。因此可確實防止氣體分子引 起之基扳W面上之污染,結果,可提升鋁之回流性及實現 低電阻化。又,在不提升腔室內壓力下,上述空間之壓力 可設爲6 0 0 - 1 3 0 OP a範圍,因此熱電導可提升, 冷卻效率可提升。如上述,依此冷卻工程,可提升基板W 與電極5 2間之空間壓力,且降低腔室內壓力,基板W污 染可防止,且可得良好冷卻效率。 (鋁層之成膜) 首先,在200 °C以下,更好爲30— 100°C之溫 度下,藉濺射裝置高速形成膜厚150_300nm之包 含0 . 1_3 . 0重量%、更好爲0 . 3-1 0重量% 鈹,及0 . 2 - 1 · 0重量%銅的鋁膜,以形成第1鋁層 34,之後,於同一腔室內加熱基板溫度至350 — 4 6 0 °C,同樣藉濺射裝置低速形成含鈹及銅之鋁膜,俾 形成膜厚300-600nm之第2鋁層35。此次,鋁 層成膜時,所謂「高速」並非由成膜條件或製造元件之設 計事項一槪界定,大略指約1 〇 n m /秒以上之濺射速度 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) ' 21 - {請先閱讀背面之注項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 ^--------I ^----I---------I--------- 419711 A7 一 B7 五、發明說明(19) 1 「低速」則爲約3 n m /秒以下之濺射速度。 鋁成膜時,除上述鈹及銅之外,必要時亦可包含鎂、 (請先間讀背面之注意事項再填寫本頁) 鈦、矽等= 鋁之濺射,痕於上述晶圓冷卻時使用之圖2所示濺射 裝置內進行。如此般,在保持減壓狀態之同一裝置內進行 冷卻工程及鋁成膜,基板之移動及設置之工程可減少,結 果’可達成工程簡化及防止基板污染。 又,於圖2 A、2B之濺射裝置中,由第1氣體供給 路5 3及第2氣體供給路5 4供給A r氣體。因此,鋁層 之成膜溫度意指藉第2氣體供給路5 4供給之氣體控制之 晶圓W之溫度(基板溫度)。 圖3爲使用此種濺射裝置控制基板溫度之一例》於圖 3中*橫軸爲經過時間,縱軸爲基板(晶圓)溫度。又, 圖3中,符號a所示爲濺射裝置之載置台5 2之溫度設定 爲3 5 Ot:時之基板溫度變化,符號b爲經由第2氣體供 給路5 4將A r氣體供至腔室內以提升載置台5 2之溫度 時之基板溫度變化。 經濟部智慧財產局員工消費合作社印製 例如,基板溫度控制如下進行。首先’載置台5 2之 溫度事先設爲第2鋁層形成之溫度(3 5 0 — 5 0 0 °C ) :第1鋁層形成時,由第2氣體供給路5 4未供給氣體, 基板溫度因載置台5 2之加熱’如圖3之符號a所示緩慢 上升。第2鋁層形成時,介由第2氣體供給路5 4加熱之 氣體被供給,因此如圖3之符號b所示,基板溫度急速上 升,而被控制於特定溫度。 -22- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公茇) 419711 A7 B7 五、發明說明(20) 圖3之例中’載置台溫度設爲3 5 0Ϊ,因此基板溫 度設爲1 2 5 — 1 50 3C之期間第1鋁層34被成膜,之 後立刻進行第2鋁層3 5之成膜。 於鋁層成膜命,成膜速度及基板溫度之控制,以及施 加於濺射裝置之功率控制亦重要。亦即,與成膜速度有關 連’第1鋁層3 4之成膜係以高功率進行,第2鋁層3 5 以低功率進行’再由局功率切換爲低功率時功率不能設爲 “ 0 ”爲重要之事。若功率設爲“ 〇 ” ,則即使在減壓下 於第1鋁層表面將形成氧化層,相對於第1鋁層,第2鋁 層之濕潤性降低,兩者之密接性變壞。換言之,藉施加正 常功率,則可於成膜中之鋁層表面繼續供給活性之鋁,可 抑制氧化層之形成。又,功率之大小,雖不能由濺射裝置 或成膜條件等一槪界定,但如圖3所示溫度條件下,較好 設定爲高功率5 — 10kW,低功率300_lkW。 如上述於同一腔室內連續形成第1鋁層3 4及第2鋁 層3 5 ,則可進行溫度及功率之嚴密控制,可較習知以更 低溫,且有效地形成安定之鋁層。 第1鋁層3 4之膜厚,當考慮在良好段差覆蓋率下可 形成連續層,及可抑制來自較該第1鋁層3 4更下層之阻 隔層3 3及構成層間絕緣層之B P S G層3 0的氣化成份 之排出時,可選擇適當之範圍,例如2 0 0 — 4 0 0 nm 較好。又,第2鋁層3 5 ,係由貫穿孔尺寸及其縱橫比來 決定,例如爲埋入縱橫比約爲3,口徑爲0 5 um之貫 穿孔,300-1 OOOnm之膜厚爲必要。 本紙張尺度適用_國國家標準(CNS)A4規格(210 X 297公釐) (請先Μ讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -1 ----111 訂---------線--τ--I--------------------- -23- 4197U A7 一 B7 五、發明說明(21) 形成第1鋁層3 4及第2鋁層3 5後,進行2 0 0 -5 0 Ot之熱處理1藉該熱處理之進行,鈹合金(例如鈹 -銅合金、鋁-鈹-銅合金)由鋁之粒界分離,鋁原子之 遷移被抑制。又·,固溶之鈹本身亦可提升鋁合金之強度, 具抑制鋁原子移動之效果。因該效果,可提升金屬配線層 之電子遷移耐性。 〔反射防止層之成膜) 又,於另一濺射腔室•藉濺射沈積鈦氮化物,以形成 厚30 - 80nm之反射防止層36。之後,藉以C 12及 B C I 3氣體爲主體之異方性乾蝕刻器對上述阻隔層3 3、 第1鋁層3 4、第2鋁層3 5及反射防止層3 6構成之沈 積層施予選擇性蝕刻*以進行金屬配線層4 0之圖型化。 如此形成之金屬配線層4 0 ,在縱橫比爲0 . 5 — 3 ,口徑爲0 . 2-8 um之貫穿孔內,在不產生空隙及良 好段差覆蓋率之情況下*可進行鋁之埋入。 (實驗例) 經濟部智慧財產局員工消費合作社印製 <請先閱讀背面之注意事項再填寫本頁) (1 )金屬配線層之電子遷移耐性 變化添加於鋁合金之鈹及銅之比例(重量% ) ’求出 電子遷移測試中之缺陷率,結果示於圖8。於圖8 ’橫軸 爲應力時間,即流通電流之時間’縱軸爲缺陷率。圖8中 ,a、b、c、d分別表不於絕添加以下金屬之結果。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公« ) ^7A- A7 419711 B7___ 五、發明說明(22 ) a :銅(0 5重量% ) b :銅(0 . 5重量%) '铍(0 . 1重量%) c :銅(0 5重量%)、鈹(0 . 3重量%) d :銅(1 重量%)、鈹(0 . 3重量%) 由圖8可知,和僅添加銅於鋁中之情況比較,藉由鈹 之添加可降低缺陷率。又,由b及c之圖示可知鈹之添力[I 量越多,缺陷率越少。因此,於鋁合金中添加鈹,可提升 電子遷移耐性。 (2 )阻隔層之構造及阻隔性 (a )透過型電子顯微鏡(TEM)之層構造之解析 依上述方法形成圖1 C所示構造樣品之半導體裝置, 進行包含接觸部領域之斷面之電子顯微鏡照相。該透過型 電子顯微鏡照片,及上述斷面構造之模式圖示於圖7。該 接觸部之斷面構造模式圖示於圖6 。圖7爲圖6中之符號 A所'示部分之構造。此實驗使用之樣品爲如下形成。 首先,如圖6所示,以上述方法於形成有元件之砂基 板1 1上形成層間絕緣層3 0後,形成口徑0 . 3 u m之 貫穿孔。之後1藉濺射法沈積鈦及鈦氮化物層。將晶圓曝 曬於氧電漿中,再於6 0 0°C之氮環境中施予退火處理, 形成阻隔層3 3。之後,藉準直濺射法形成鈦層作爲濕潤 層3 7。之後,形成含鈹及銅之之第1鋁層3 4,形成含 背脊銅之第2銘‘層3 5。之後,藉濺射法形成由鈦氮化物 層構成之反射防止層3 6。如圖7所示,依透過型電子顯 (請先W讀背面之注意事項再填寫本頁)
i J 經濟部智慧財產局員工消費合作杜印製 !·ι 訂1! — —--I ' -- --------ιι''ι—J________ 本紙張尺度適用中國國家標準<CNS)A4規格(210 X 297公釐) -25- 4i9m A7 B7 五、發明說明(23) 微鏡所得照片所得之接觸部之各層組成及膜厚如下° 矽基板(s i ) 鈦矽化物層(TiSi2) 8 . 5 n m 鈦氮化物層'(T N ;鈦層之鈦與鈦氮化物層之氮反應 產生之濃鈦之層). 3〇nm 鈦氮化物層結晶層(T i N ) 約5 0 n m 鈦氮化物變形層(於T i N層分散有T 1 ◦ 2之結晶粒 子之層) 約3〇nm 鋁一鈦層(A 1 3 T i ) 約1 0 n m 差呂一銅層(A 1 - Cu) 由圖7可知,構成阻隔層3 3之鈦氮化物層(T i N )層之上層約3 0 nm中,鈦氧化物結晶粒子分布。該鈦 氧化物之結晶,其平均粒徑爲約1 〇 n m。因鈦氧化物之 結晶粒子呈島狀分布,鈦氮化物層之結晶格子成爲變形狀 態。此稱爲鈦氮化物變形層。該鈦氮化物變形層及鈦氧化 物之粒子可抑制鋁之擴散,大幅提升阻隔性。分散於鈦氮 化物層之粒子材質,可藉由將透過型電子顯微鏡之影像進 行傅立葉轉換,觀察格子像來界定。 又,構成濕潤層3 7之鈦與鋁反應成A 1 3T i系合金 ,於該層上形成鋁(A 1 — C u )層。阻隔層’不與 A 1 3 T 1系合金反應,具安定,較佳之阻隔性及導電性。 (b )阻隔性 針對本發明樣品,及阻隔層不存在有欽氧化物結晶之 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — — — — — — — — —— — — — Λ - I I . * (請先閲讀背面之注意事項再填寫本頁) ιί· i線. 經濟部智慧財產局員工消費合作社印製 -26- A7 419711 B7 五、發明說明(24) 和本發明樣品相同之比較用樣品,使用透過型電子顯微鏡 及對樣品施予熱處理後’調査漏電特性。漏電特性爲’對 樣品施予各種條件之退火處理,求出於接觸部產生漏電流 時之退火條件。' 結果,本發明之樣品,即使在5 5 0 °C、進行1 〇小 時之退火處理,亦不會產生漏電流1或鋁貫穿阻隔層進入 矽基板之尖峰現象。相對於此,比較用樣品,經由4 5 0 ΐ、2小時之退火處理即產生漏電流。 由上述可知,和比較用樣品比較,本發明之樣品具極 佳阻隔性。 (3 )脫氣工程影響之檢討 圖4及圖5所示爲依脫氣工程之有無調查由晶圓排出 之氣體量(分壓)之異同之實驗結果。 圖4及圖5中,橫軸爲鋁層形成前進行熱處理(熱處 理C )起至第2鋁層3 5成膜後之處理時序,縱軸爲殘留 於腔室內之氣體分壓。圖4及圖5中,符號Α爲層間絕緣 層形成後經脫氣工程之情況,B爲層間絕緣層形成後不經 脫氣工程之情況。此實驗例中,脫氣工程係於氣壓〇 . 1 —1 P a 、溫度4 6 0 t:、時間1 2 0秒下進行。 各圖中,橫軸之a及b爲鋁層成膜前進行之處理c ( 第1腔室)之時序,a表示於第1腔室內放入晶圓後,b 表示以燈管加熱進行2 5 0 °C、6 0秒之晶圓加熱時之時 序。第1腔室內,氣壓設爲1X10— 4Pa。 本紙張尺度適用中國圉家標準(CNS)A4規格<210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製
n n ϋ I I *ϋ n H ϋ ϋ n I IK n I n I -27- A7 419711 _____B7____ 五、發明說明(25) (請先閱讀背面之注意事項再填寫本頁> 符號C及d爲晶圓之冷卻工程(第2腔室內)中之時 序;C表示晶圓放入第2腔室內後,d表示晶圓溫度冷卻 至20°C時=第2腔室內,氣壓設爲3xl〇Mpa =分 壓測定時,腔室ή氣壓減至3 X 1 0_6P a。 符號e 、f 、g爲鋁層成膜工程(第3腔室內)之時 序。e表示於第3腔室內放入晶圓後,ί表示第1鋁層成 膜後,g表示第2鋁層成膜後。第3腔室內氣壓設爲3 X 102Pa。分壓測定時腔室內氣壓減至3X10 6 P a
C 由圖4及圖5可知,層間絕緣層成膜後阻隔層成膜前 進行脫氣工程,則其後之熱處理及鋁層成膜時,水及氮幾 乎不發生。相對於此,未經脫氣工程時,其後之熱處理, 特別是b所示熱處理C時,水及氮均大量排出。 本實施彤態中,如上述般於貫穿孔可良好埋入第1及 第2鋁層34、35之理由如下。 經濟部智慧財產局員工消費合作社印製 (a )鋁合金,藉由鈹之添加,以鈹吸收鋁中之氧, 使鋁之流動性提升。結果,可良好進行鋁合金之埋入貫穿 孔。又,如上述藉鋁流動性之提升,可降低鋁合金層之濺 射溫度,抑制鋁之鬚晶之產生。 (b )藉脫氣工程之進行,層間絕緣層、特別是 B P S G層或矽化合物與過氧化氫之反應所得矽氧化層中 所含水、氫、氧、或氮被汽化充分排出,因此之後第1鋁 層3 4及第2鋁層3 5成膜時,來自層間絕緣層或阻隔層 3 3之氣體產生可被防止,阻隔層3 3與第1鋁層3 4之 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -28- A7 4197V1 B7_ 五、發明說明(26 ) 密接性高之良好段差覆蓋率之成膜爲可能。 <請先閱讀背面之注意事項再填寫本頁) (c )第1鋁層3 4成膜時,基板溫度設爲較低之 2 0 0 t以下,使層間絕緣層及阻隔層3 3所含水分或氮 +被排出,如此別除上述脫氣工程之效果外,亦可提升第 1鋁層3 4之密接性。 (d )第1鋁層3 4本身,當基板溫度上升時具抑芾U 來自下層之氣體之產生,因此後續第2鋁層3 5之成膜可 於較高溫度進行,第2鋁層3 5之流動擴散可良好進行。 如上述依本發明,使用至少含鈹之鋁合金,較好爲鋁 層濺射前至少包含脫氣工程及冷卻工程,再於同一腔室內 連續進行鋁層之成轉,如此則於約0 · 2 u m之貫穿孔僅 埋入鋁合金爲可能,信賴性及良率可提升。又,構成接觸 部之鋁層中之銅等之分離或結晶粒之異常成長現象亦不致 發生,具良好電子遷移耐性等信賴性。 又,依本發明之半導體裝置,較好是於阻隔層以島狀 包含構成該阻隔層之金屬氧化物,如此則可確保阻隔層之 導電性及阻隔性。 經濟部智慧財產局員工消費合作社印製 又1上述實施形態中,係針對含N通道型Μ 0 S元件 之半導體裝置加以說明,但本發明亦適用含Ρ通道型或 C Μ 0 S型元件之半導體裝置。又,上述實施形態係針對 第1層金屬配線層說明,但本發明不限於此,第2層以上 之金屬配線層亦適用。 又,本發明之貫穿孔,除異方性乾蝕刻形成之外,使 用等方性濕蝕刻與異方性乾蝕刻之組合將貫穿孔上端部適 -29- 本紙張尺度適用令國國家標準(CNS)A4規格(210 * 297公釐)
4197.U A7 _____B7 _ 五、發明說明(27) 度形成爲錐拔狀者亦可。例如此種貫穿孔,藉下部之異方 性乾蝕刻形成部分之口徑爲0 . 5 — 0 . 8 u m、縱橫比 爲0 . 5 - 3之情況下,第2鋁層可於300 — 350 t 成膜,因此可使_非高濕規格之一般濺射裝置,實用上有 利。 (圖面之簡單說明) 圖ΙΑ' IB、1C :本發明之半導體裝置之製造方 法之一例之工程順序模式斷面圖。 圖2 A :本發明"之實施形態使用之濺射裝置之一例之 模式圖。 圖2B:濺射裝置之載置台之一例。 圖3 :使用圖2 A、2B之濺射裝置控制基板溫度時 之時間與基板溫度之關係。 圖4 :本發明之半導體裝置之製造方法中之處理時序 與腔室內殘留氣體(水)之分壓之關係圖。 圖5 :本發明之半導體裝置之製造方法中之處理時序 與腔室內殘留氣體(氮)之分壓之關係圖。 圖6 :本發明之半導體裝置之接觸部之斷面構造之模 式圖。 圖7 :本發明之半導體裝置之斷面之依透過型電子顯 微鏡照片作成之圖。 圖8 :本發明之半導體裝置之樣品及比較樣品所求出 電子遷移性測試結果。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -I ^ I I I I ^ I^eJ· a I l· I I j I I ^ J I n I ^ ϋ ^ I J· —r ϋ ϋ ! n ϋ n n ti ^ n I _
4197U 五、發明說明(28) 層 層層 化 層質質物氧層 層 膜化 雜雜隔矽層 G 層層線 板 <緣氧 度度間..鈦 S 孔層鋁鋁配 基絕極極濃濃壁 ο 化 P 穿隔 12 屬 }矽場閘閘低高側 2 矽 B 貫阻第第金 : : : : : . . : , . : : : . : : ^1234567890234 5 0 號 1―11111,―-11.―1333334 符 I n n n - n n - · t ^ in - n n ϋ n-Ϊ-ΓΡ,· I La n - 1 IB n I ' {請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作杜印製. 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -31 -

Claims (1)

  1. A8B8C8D8 4t97tt 六、申請專利範圍 1 . 一種半導體裝置,係包含:具元件之半導體基板 、至少1層之金屬配線層、及至少1層之層間絕緣層: (請先閱讀背面之注意事項再填寫本頁) 上述層間絕緣層,係以鋁爲主成份,且含0 · 1 - 3 重量%比例之鈹\8 6)。 2 .如申請專利範圍第1項之半導體裝置,其中 上述鈹之比例爲0 . 3 — 1重量%。 3.如申請專利範圍第1項之半導體裝置,其中 上述金屬配線層,另含0 . 1 - 3重量%比例之鎂( Mg)或鈦(T i )之至少一方。 4 ·如申請專利範圍第1項之半導體裝置,其中 上述層間絕緣層,係藉熱處理除去氣體化成份者。 5.如申請專利範圍第1-4項中任一項之半導體裝 置,其中 至少於上述半導體基板上之層間絕緣層及形成於該層 間絕緣層之貫穿孔表面形成阻隔層,該阻隔層係包含構成 該阻隔層之金屬氧化物以島狀分布之狀態。 經濟部智慧財產局員工消貲合作社印製 6 . —種半導體裝置之製造方法,該半導體裝置係包 含:具元件之半導體基板、及至少1層金屬配線層、及至 少1層之層間絕緣層;其包含有以下工程: (a )於層間絕緣層形成貫穿孔的工程: (b )於上述層間絕緣層之上及貫穿孔內部,沈積以 鋁爲主成份,且包含〇 . 1 - 3重量%比例之鈹的金屬層 以形成金屬配線層的工程。 7.如申請專利範圍第6項之半導體裝置之製造方法 -32- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) A8 119711 _1_ 六、申請專利範圍 ,其中 上述鈹之比例爲0.3-1重量%。 (請先閱讀背面之注意事項再填寫本頁) 8 .如申請專利範圍第6項之半導體裝置之製造方法 ’其中 _ 上述金屬配線層,另包含0 · 1 _ 3重量%比例之鎂 或鈦之至少一方。 9 .如申請專利範圍第6項之半導體裝置之製造方法 ,其中 上述金屬配線層,係於5 〇 〇 以下溫度,使用以鋁 爲主成份,且至少包含〇.1_3重量%比例之鈹之標靶 材料之濺射法形成。 1 0 .如申請專利範圍第6項之半導體裝置之製造方 法,其中 上述金屬配線層係藉由:在2 0 0 °C以下溫度,形成 以鋁爲主成份之合金構成之第1鋁層的工程:及於上述第 1鋁層上,在3 0 0 - 5 0 0 °C溫度下,形成以鋁爲主成 份之合金構成之第2鋁層的工程所形成。 經濟部智慧財產局員工消費合作社印製 1 1 .如申請專利範圍第1 0項之半導體裝置之製造 方法,其中 上述第1鋁層及第2鋁層之形成,係於同一腔室內連 續進行。 1 2 .如申請專利範圍第1 0項之半導體裝置之製造 方法,其中 在上述第1鋁層形成前,包含將基板溫度冷卻至 本紙張尺度適用中國國家標準(CNS)A4規格(210 * 297公釐) -33- A8 B8 419711_g 六、申請專利範圍 1 〇 0 °c以下之工程。 13. 如申請專利範圍第6項之半導體裝置之製造方 (請先閱讀背面之注意事項再填寫本頁) 法,其中 上述工程('b)之後’於2 00 — 500 °C之溫度進 行熱處理。 14. 如申請專利範圍第6項之半導體裝置之製造方 法,其中 上述工程(a )之後’包含在減壓下’於3 0 0 — 5 5 〇 °C之基板溫度下進行熱處理’俾除去上述層間絕緣 層所含氣體化成份之脫氣工程。 1 5 .如申請專利範圍第6 - 1 4項中任一項之半導 體裝置之製造方法,其中 具有至少於半導體基板上之層間絕緣層及形成於該層 間絕緣層之貫穿孔表面形成阻隔層的工程;該阻隔層’係 在構成上述阻隔層用之層中導入氧,使構成該層之金屬氧 化物呈島狀分布地形成。 1 6 .如申請專利範圍第1 5項之半導體裝置之製造 經濟部智慧財產局員工消費合作社印製 方法1其中 上述阻隔層,係沈積構成該阻隔層用之層後,於減壓 下使該層曝露於氧電漿中之後施予熱處理,俾使構成該層 之金屬氧化物以島狀分布狀態形成。 1 7 .如申請專利範圍第1 5項之半導體裝置之製造 方法,其中 上述阻隔層,係沈積構成該阻隔層用之層後,於氧存 -34- 本紙張尺度適用中國國家標準(CNS)A4規格mo X 297公釐) A8 41 Sitl i 六、申請專利範圍 在下施予熱處理,俾使構成該層之金屬氧化物以島狀分布 成 形 態 狀 --------------霞— <請先閱讀背面之注意事項再填寫本頁) I . •線- 經濟部智慧財產局員工消費合作社印製 -35- 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW088115135A 1998-09-03 1999-09-02 Semiconductor device and its manufacture TW419711B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP25013898 1998-09-03
JP10374108A JP2000150652A (ja) 1998-09-03 1998-12-28 半導体装置およびその製造方法

Publications (1)

Publication Number Publication Date
TW419711B true TW419711B (en) 2001-01-21

Family

ID=26539657

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088115135A TW419711B (en) 1998-09-03 1999-09-02 Semiconductor device and its manufacture

Country Status (4)

Country Link
US (1) US6326287B1 (zh)
JP (1) JP2000150652A (zh)
KR (1) KR20000022904A (zh)
TW (1) TW419711B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7960835B2 (en) 2009-05-04 2011-06-14 Macronix International Co., Ltd. Fabrication of metal film stacks having improved bottom critical dimension

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3528665B2 (ja) * 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
US6972223B2 (en) * 2001-03-15 2005-12-06 Micron Technology, Inc. Use of atomic oxygen process for improved barrier layer
US6633085B1 (en) * 2001-06-20 2003-10-14 Advanced Micro Devices, Inc. Method of selectively alloying interconnect regions by ion implantation
JP3682920B2 (ja) * 2001-10-30 2005-08-17 富士通株式会社 半導体装置の製造方法
US6955980B2 (en) * 2002-08-30 2005-10-18 Texas Instruments Incorporated Reducing the migration of grain boundaries
JP3940385B2 (ja) * 2002-12-19 2007-07-04 株式会社神戸製鋼所 表示デバイスおよびその製法
US7064056B2 (en) * 2003-06-13 2006-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer stack to prevent Ti diffusion
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
JP2005086118A (ja) * 2003-09-11 2005-03-31 Renesas Technology Corp 半導体装置
KR100546782B1 (ko) * 2003-12-30 2006-01-25 동부아남반도체 주식회사 반도체 소자 및 그 제조방법
WO2006020566A1 (en) * 2004-08-09 2006-02-23 Blue29, Llc Methods for forming a barrier layer with periodic concentrations of elements and structures resulting therefrom and systems and method affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes
KR100822630B1 (ko) * 2006-06-29 2008-04-17 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
JP2008091835A (ja) * 2006-10-05 2008-04-17 Toshiba Corp 半導体装置およびその製造方法
US8084357B2 (en) * 2007-04-11 2011-12-27 United Microelectronics Corp. Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
US20100260992A1 (en) * 2007-04-11 2010-10-14 Wei-Chih Chen Multi cap layer
US20090283310A1 (en) * 2007-04-11 2009-11-19 Wei-Chih Chen Multi cap layer and manufacturing method thereof
US8541053B2 (en) * 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
JP6291570B2 (ja) * 2013-06-06 2018-03-14 エイチ.シー. スターク インコーポレイテッド 電子素子における金属被覆のための銅合金障壁層およびキャッピング層
US11362212B2 (en) * 2019-09-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact interface engineering for reducing contact resistance

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2049507C3 (de) * 1970-10-08 1979-11-08 Siemens Ag, 1000 Berlin Und 8000 Muenchen Lichtempfindliche Halbleiteranordnung
JPH0674479B2 (ja) * 1986-10-09 1994-09-21 スカイアルミニウム株式会社 リードフレーム、コネクタもしくはスイッチ用導電圧延材料
US5019891A (en) * 1988-01-20 1991-05-28 Hitachi, Ltd. Semiconductor device and method of fabricating the same
JPH02159064A (ja) 1988-12-13 1990-06-19 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH02229433A (ja) 1989-03-01 1990-09-12 Matsushita Electron Corp 配線材料
US5010039A (en) * 1989-05-15 1991-04-23 Ku San Mei Method of forming contacts to a semiconductor device
US5180689A (en) * 1991-09-10 1993-01-19 Taiwan Semiconductor Manufacturing Company Tapered opening sidewall with multi-step etching process
JPH0677162A (ja) * 1992-08-26 1994-03-18 Yamaha Corp 半導体装置とその製法
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
US5956608A (en) * 1996-06-20 1999-09-21 Applied Materials, Inc. Modulating surface morphology of barrier layers
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7960835B2 (en) 2009-05-04 2011-06-14 Macronix International Co., Ltd. Fabrication of metal film stacks having improved bottom critical dimension

Also Published As

Publication number Publication date
KR20000022904A (ko) 2000-04-25
US6326287B1 (en) 2001-12-04
JP2000150652A (ja) 2000-05-30

Similar Documents

Publication Publication Date Title
TW419711B (en) Semiconductor device and its manufacture
JP3528665B2 (ja) 半導体装置の製造方法
TW403933B (en) Semiconductor device and producing method therefor
US6614119B1 (en) Semiconductor device and method of fabricating the same
TWI282141B (en) Semiconductor device and manufacturing method thereof
US20070099417A1 (en) Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
JP3606095B2 (ja) 半導体装置の製造方法
JP2005094014A (ja) 相互接続構造内での低抵抗バイア・コンタクトの形成
JP5463794B2 (ja) 半導体装置及びその製造方法
US6458703B2 (en) Method for manufacturing semiconductor devices with allevration of thermal stress generation in conductive coating
JPH10209147A (ja) 半導体装置の製造方法
JP2014041946A (ja) 半導体装置の製造方法および半導体装置
TW408359B (en) Semiconductor device and manufacture thereof
US20110121459A1 (en) Semiconductor interconnection
KR100496716B1 (ko) 반도체장치및그제조방법
JP3922355B2 (ja) 半導体装置の製造方法
JP3456392B2 (ja) 半導体装置の製造方法
JP2006294679A (ja) 半導体装置とその製造方法
JP2010093030A (ja) 半導体装置の製造方法
JPWO2006137146A1 (ja) 電界効果トランジスタ及びその製造方法
JP2000156410A (ja) 半導体装置
JP2008166458A (ja) 半導体装置の製造方法
JP2006147895A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees