TW400571B - Self-aligned contacts for semiconductor device - Google Patents

Self-aligned contacts for semiconductor device Download PDF

Info

Publication number
TW400571B
TW400571B TW088102338A TW88102338A TW400571B TW 400571 B TW400571 B TW 400571B TW 088102338 A TW088102338 A TW 088102338A TW 88102338 A TW88102338 A TW 88102338A TW 400571 B TW400571 B TW 400571B
Authority
TW
Taiwan
Prior art keywords
etching
item
patent application
layer
substrate
Prior art date
Application number
TW088102338A
Other languages
English (en)
Inventor
Linda N Marquez
Janet M Flanner
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW400571B publication Critical patent/TW400571B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

A7 B7__ 五、發明说明丨) 本發明係關於半導體積體電路(I C > s )的製造, 更特別地說,本發明係關於在I C製造以產生自調式接觸 孔期間用來蝕刻通過一包括一層氧化物層之I C / s層叠 的方法及裝置。 在某些諸如金屬氧化物半導體(MO S )電晶體等之 半導體積體元件的製造中,自調式接觸提供了許多優點。 爲了幫助討論,圖1 A及1 B例舉一層叠2 0之有關部分 的剖面圖,其代表在使用自調式接觸之典型的半導體I C 的製造期間所形成之層,應該注意到在所顯示之層的上面 ,下面,或介於其間之其他的附加層可能出現。因此,就 像在此所使用的術語一樣,潑如 '遍佈'或者 ' 在……之 上"的相關位置術語不一定^示介於所討論之層、間的直接 接觸。此外,並非所有所顯示之層一定必需出現,而且部 分或全部的層可以用其他不同的層來代替。 首先參考圖1 A,顯示在層叠2 0之底部的基體22 (爲了例舉方便,並未以比例顯示)。基體22表示一半 導體晶圓,其典型上由矽所構成,氧化物層2 4及2 6, 其典型上包含S i 〇2,係由上述的基體2 2所構成而作爲 閘極氧化物物靥,在閘極氧化物層2 4及2 6之上配置個 別的多晶矽閘極2 8及3 0,每一個多晶矽閘極2 8及 3 0被後續所沈稹之氮化物層(通常爲S i3N4或 S i »Nr)的氮化物區域所保護。在爾1A中,這些氮化 物區域被顯示爲氮化物區域3 2及3 4,在閘極氧化物區 域,多晶矽閘極,及保護的氮化物區域之上沈稹一層氧化 本纸張尺度遢用中國·家揉準(CNS ) A4«U»· ( 210X297公釐) ~~~' 請先閲讀背面之注意Ϋ寫本頁 裝. 訂 線· 鍾濟部中央榣車扃貝工消费合作杜印製 經濟部中央橾準局負工消费合作杜印製 A7 B7 五、發明説明j ) 物層4 0。 爲了產生經過氧化物層4 0通到基體2 2的接觸孔 4 4,使用傳統的微影步驟來沈積及形成一層光阻材料層 4 2的圖案。在形成圖案之後,一初始孔,被產生於光阻 層4 2中來幫助後續的氧化物蝕刻,上面所述之層及特色 ',以及在他們的產生中所牽涉的製程對習於此技者而言係 眾所皆知的。 圖1 B顯示圖1 A之相同的層叠2 0及其層等。但是 •在圖1 B中,一接觸孔4 4已經被蝕刻而通過氧化物層 4 0,經由此接觸孔4 4,可後續形成一金屬導體而與基 體2 2中的汲極和源極區域策觸。藉由實例,接觸孔4 4 的側壁5 2可以被蝕刻而使ίΐ接觸孔4 4接觸基體2 2中 的源極或汲極區域。在目前的情況中,接觸孔4 4代表一 自調式接觸,亦即,不論接觸孔的側壁是否與所有或部分 之包括閘極氧化物區域,多晶矽閘極,以及保護的氮化物 層之閘極叠層重叠,均執行其接觸功能。因爲多晶矽閘極 他側本身受到重叠之氮化物材料所保護,所以可能出現一 些介於在閘極叠層間的D 1區域與接觸孔4 4之間的不對 位,但並未使最終之電晶體的閘極到其汲極和源極的距離 縮短,藉由實例,顯示接觸孔4 4的側壁5 0與多晶矽閘 極2 8重叠》雖然如此,氮化物區域3 2的出現使多晶矽 閘極2 8與後續被沈積在接觸孔4 4之內的爲電性接觸材 料電性絕緣。 自調式接觸的使用有利地讓電路設計者在接觸孔的配 本紙flt尺度適用中國國家揉率(CNS > Α4洗格(210X297公釐) -----;---.---裝------訂------線 - - * (請先閱讀背面之注意事及唭寫本頁) A7 B7 五、發明说明3( 經濟部中央橾率Λ貝工消费合作杜印装 置方面有更大的彈性,在一些實例中,因爲介於閘極間的 最小距離並未被接觸孔的尺寸所限制(例如被微影及氧化 物蝕刻過程之準確性所限制),所以自調式接觸的使用讓 電路設計者使閘極更緊密地包裝在一起。 如同可被習於此技者所領會,上述之自調式接觸技術 要求接觸孔,被蝕刻例如圖1 B的蝕刻4 4,但是並未損 壞與多晶矽閘極重叠的絕緣氮化物區域。換言之,希望以 具有高氧化物對氮化物選擇性之氧化物蝕刻製程來蝕刻接 觸孔。爲了確保介於後續所沈積之金靥層與晶圓間的適當 接觸面積,希望蝕刻輪廓與所需要的縱向輪廓儘可能的接 近而衹有一點點或者沒有陷1氮化物側壁之未受蝕刻的氧 化物,也希望當蝕刻接觸孔^改進氧化物蝕刻速率時,能 夠增加晶圓產能速率。除此之外,也希望橫越晶圓之上以 均勻的蝕刻速率蝕刻接觸孔,使得位於晶圓之中心的晶片 和位在晶圓邊緣處的晶片以相同的速率被蝕刻。 蓥於前述,所需要的是用以蝕刻自調式接觸的方法及 裝置。在其他的優點中,改進的方法及裝置最好使氧化物 蝕刻速率,氧化物對氮化物選擇性,以及蝕刻均勻性達最 大,而同時改進蝕刻輪廓· 本發明之槪述 本發明一方面在電漿處理室中提供一種用以蝕刻通過 一晶圓之層叠之氧化物層的選擇部分來產生自調式接觸孔 的方法,此晶匾叠層包括一基體,一沈稹於此基體上之多 本纸張尺度適用中國國家橾丰(CNS 格(210X297公釐) 請 先 閲 讀 背 ύ 之 注 A V 寫 本 頁 裝 訂 線 -6- 經濟部中央橾準局負工消费合作社印装 A7 ___B7_五、發明说明4() 晶矽層,一沈積於此多晶矽層上之氮化物層,氧化物層係 配置於氮化物層之上。此方法包括以化學物質及一組製程 參數一蝕刻通過該層叠的氧化物層,化學物質包括c4F8 及ch2f2,該組製程參數幫助蝕刻通過氧化物層而不會 產生釘齒狀蝕刻並蝕刻氧化物層通到基體而不會實際地損 壊氮化物層。 本發明另一方面在電漿處理寒中提供一種用以蝕刻通 過一晶圚之層叠之氧化物層的選擇部分來產生自調式接觸 孔的雙步驟蝕刻方法,此晶圓層叠包括一基板,一沈積於 此基體上之多晶矽層*一沈積於此多晶矽層上之氮化物層 ,該氧化物層係配置於氮化層之上。此方法包括一以第 —化學物質及第一組製程參&局部蝕刻通過該騄叠之氧化 物層的第一蝕刻步驟,第一化學物質包括C2Fe及 C2HF5,第一組製程參數代表用以蝕刻通過該氧化物層 而不會產生釘齒狀蝕刻的一組參數· • 此方法包括一以包括C 4H8及C H2 F2之第二化學物 質及第二組製程參數蝕刻該氧化物層通到基體的第二蝕刻 步驟,第二組製程參數與第一組製程參數不同並代表用以 蝕刻氧化物層通到基體而不會實際地損壞氮化物層的一組 參數。 當閱讀下面詳細的說明並察閱不同的圖形時,本發明 的這些及其他優點將變得明顯。 請 先 閱 背 面 之 注 意 窝 本 頁 裝 订 線 附圖之簡略說明: 本纸»尺度適用中•國家揲率(CNS ) A4洗格(210 X 297公釐) 五、發明说明g ) A7 B7 經濟部中央橾率货工消费合作杜印氧 圖1 A例舉一層叠的剖面圖,其代表在使用自調式接 觸孔之典型的半導體IC之製造期間所形成的層。 圖1B顯示在自調式接觸孔已經被蝕刻通到氧化物層 之後圖1 A之相同的層叠。 圖2例舉在自調式接觸孔的側壁上所形成之釘齒狀蝕 刻。 圖3係適合被使用之具發明的雙步驟接觸孔蝕刻技術 之電漿反應器的簡化示意圖。 圖4係顯示依據本發明的一個實施例之單步驟蝕刻製 程及二步驟蝕刻製程的第一及第二蝕刻步驟之製程參數的 近似較佳値的表。 〜 圖5顯示依據本發明另^方面在發明的雙步、驟自調式 接觸孔蝕刻製程中所涉及的步驟。 元件對照表 2 0 層叠 2 2 基體 2 4 氧化物層 2 6 氧化物層 2 8 多晶矽閘極 3 0 多晶砍關極 3 2 氮化物區域 3 4 氮化物區域 4 0 氧化物層 I Μs ! % - s 旁 I 裝 I I I -1 訂 I I I I I I 線 冬纸張尺度逍用中屬國家揲準(CNS > Α4洗格(210X297公簸) 8- A7 ___ B7五、發明説明6() 經濟部_央揉率局貝工消费合作杜印裝 4 2 光阻材料( 層) 4 4 接觸孔 5 0 接觸孔的側 壁 5 2 接觸孔的側 壁 6 0 接觸孔中心 線 6 2 臨界位置 3 0 0 晶圚反應器 3 0 1 渦輪分子泵 3 0 2 電漿處理室 3 0 3 電極(線圈 ) 3 0 4 蓮蓬頭 3 0 5 R F產生器 3 1 0 夾盤 3 2 0 射頻產生器 3 5 0 晶圓 3 5 2 稹體電路 3 6 0 埠 較佳 實施例之詳細說 明: 說明在自調式接觸孔的蝕刻 面爲改進之氧化物蝕刻速率及諸 *蝕刻均勻性,和蝕刻輪廓等之 在下面的說明中,提出許多#定 發明徹底的了解。但是,對習於 如氧化物對氮化物選擇性 氧化物蝕刻特性的發明。 的詳細內容以便提供對本 此技者而言,本發明在沒 請- 讀 背 面 * 之 注 意 訂 線 本紙張尺度遢用中國國家橾率(CNS ) A4规格(210X297公釐) -9- 五、發明説明7() 有這些特定之詳細內容的部分或全部的情況下亦可被實行 係顯而易知的。在其他的實例,並未詳細說明眾所皆知製 程步驟是爲了避免造成對本發明不必要的混淆。 本發明提供一種用以蝕刻通過氧化物層來有效地形成 自調式接觸而不會實質地破壞位在閘極電極之上的保護性 氮化物層之方法。視自調式接觸孔之深寬比或深度,根據 本發明的蝕刻可以被實施於使用一適當的化學物質及一組 製程參數之單一步驟中或者可以被實施於雙步驟中,每一 個步驟可以使用不同的化學物質及不同組的製程參數,在 此所使用的術語 '深寬比#係指在氧化物層的表面處接觸 孔的深度對接觸孔之寬度的访値。 根據本發明的一實施例,具有介於大約1 1與大約 翅濟部中夬橾準局ΛX消费合作杜印1t 4 : 1之間的深寬比或者通常小於或等於大約1微米或者 最好小於或等於大約0 . 7微米(#m)之自調式接觸孔 被形成於單一蝕刻步驟中。在此步驟中,藉由使用包括 C4F8&CH2F2之化學物質及一組幫助蝕刻通過氧化物 層而沒有產生釘齒狀蝕刻的製程參數來實施蝕刻,其將說 明於下。在此實施例中,保護性氮化物層的頂平面,例如 圖1A及圖1B的層32及34,通常被配置在基體表面 上至多大約5 0 0 0埃,而最好被配置在基體表面上至多 大約2 5 0 0埃· 根據本發明的另一實施例,以一雙步驟蝕刻製程蝕刻 具有等於或大於大約3:1之深寬比或者大於大約0·7 微米之深度的自調式接觸孔,其使用C2Fe,C2HFS, 本纸張適用中国钃家揉準< CNS ) Α4Λ» ( 210X297公釐) •10· A7 B7 五、發明说明g ) 經濟部中央搮率局貝工消费合作社印装 CH2F2,C4F8和選擇性使用氬氣及/或當作在電漿處 理室內蝕刻劑源氣體中之添加物的C F 4。在第一蝕刻步驟 中,最好以包括C2F6及C2HF5之第一化學物質與第一 組在其他製程參數中被設計來防止釘齒狀蝕刻的產生之製 程參數來蝕刻接觸孔。此外,第一化學物質最好可以包含 氬氣,其可以提高光阻選擇性。更進一步,第一化學物質 也可以包含CF4當作在電漿處理室內蝕刻劑.源氣體中的添 加物。作爲在此所使用的術語,釘齒狀蝕刻表示在蝕刻側 壁上的變形使得接觸孔在蝕刻的底部處逐漸窄。如在圖2 中所顯示,當接觸孔4 4的側壁從臨界位置6 2朝向接觸 孔中心線6 0逐漸變細時,1觸孔4 4然後會有釘齒狀蝕 刻。在有些情況中,在接觸?L側壁開始朝向中心線6 0逐 漸變細之前,接觸孔的臨界尺寸可能變窄。 然後以包括C4F8及CH2F2之第二化學物質和第二 組在其他製程參數中使得增加氧化物對氮化物選擇性達最 佳化之製程參數來實施第二蝕刻步騍。除此之外,第二化 學物質也可以包括C 或C2F6當作在電漿處理室 內的蝕刻劑源氣體,相信諸如CF4,C2Fe及/或氬氣 之添加物的加入有利地提高氧化物殘餘的去除及改善蝕刻 輪廓。就像先前所注意的,在接觸孔的蝕刻期間,所增加 的氧化物對氮化物的選擇性有利地減少破壞覆蓋多晶矽閘 極之保護性氮化物區域的機會· 發明的單步驟及雙步驟自調式接觸孔蝕刻技術可以使 用於任何已知的電漿處理裝置中,其包括那些適合於乾式 請- 态- 閱 背 之 注 寫 本 頁 裝 訂 線 本紙法尺度埴用中Ηβ家梯準< CNS Μ妨t格(210X297公釐) 11 «濟部中夹橾率局貝工消费合作社印*. A7 _B7_五、發明説明j ) 蝕刻,電漿蝕刻,反應離子蝕刻(RIE),磁增強式反 應離子蝕刻(MERIE),電子回旋加速器共振( E C R)等等的電漿處理裝置。爲了進一步鑽硏,在適合 於乾式蝕刻之典型的電漿處理室中,以電漿處理晶圓’該 室包括一入口埠,製程蝕刻劑源氣體經過該入口埠而被供 庵至該室內部。一種適合的R F能量源被施加至與該室相 關連的電極以便自蝕刻劑源氣體中感應電漿,已知能量本 身可以被電感性耦合或電容性耦合來維持電漿。然後由蝕 刻劑源氣體中構成形式以便與層叠起反應並蝕去在晶圓層 叠之電漿接觸區域處的層叠,可能係揮發性的副產物然後 經過出口埠而被排出。 〜 電漿蝕刻與在晶圓處理&間晶圓係位在陽極電極或者 接地電極上的情況有關,另一方面,反應離子蝕刻( R I Ε )與在處理期間晶圓係位在陰極電極或者供電電極 上的情況有關。磁增強式反應離子蝕刻(ME R I Ε )表 示R I E反應器幾何架構的變型,其中磁場被施加以減少 到達反應器壁表面之激態電子的損失。已經發現在某些狀 況下MERIE反應器能夠增加從電極到電漿中之電子的 能量轉移之效率。 本發明期待可以被實施於上述之反應器以及其他適合 的電漿處理反應器的任何一種之中,注意不論是否經由電 容性耦合的平行電極板,經由E C R微波電漿源,或者經 由諸如螺旋波(helicon),螺旋形共振器,以及變壓器耦 合電漿等之電感性耦合R F源來傳送能量到電漿,上述均 請- 今- 閲 背 面 之 注 意 ή i 裝 訂 線 本紙張尺度逍用中•國家楳準(CNS ) A4洗格(210X297公釐) -12- A7 B7 經濟部中夫標車扃貝工消费合作杜印装 五、發明説明乂 ) 爲真。在其他的系統中,ECR及TCPTM (變壓器耦合 電漿)處理系統爲最容易取得的商用系統,T C PTM系統 代表高密度電漿系統等級並可從例如加州Fremont的Lam Research Corporation公司取得。 在較佳實施例中,儘管如上所述,任何傳統及適合的 電漿處理系統可以被使用的相當好,但是本發明被實施於 改良式TCPTM9 1 0 0電漿反應器中,其係從Lam Research Corporation公司取得的。圖3例舉一改良式 TCP™ 9 100電漿反應器的簡化示意圖,其包括晶 圓3 5 0及積體電路晶片3 5 2,他們是在晶圓係根據發 明二步驟自調式接觸孔蝕刻~來蝕刻且以傳統的後蝕刻步驟 來處理之後,從晶圓3 5 0所_切割下來之晶片所、製成的。 參考圖3 *晶圓反應器3 0 0包括一最好由陽極化的鋁所 做成之電漿處理室3 0 2,在室3 0 2之上配置一電極 3 0 3,其係藉由在圖3之實例中的線圈來實施,線圈 3 0 3經由匹配電路(未顯示於圖3中)而被RF產生器 3 0 5所激勵* 圖3之改良設計的電漿處理室3 0 2包括用來循環冷 卻劑,例如乙二醇或純水,於線圈3 0 3之內以控制線圈 3 0 3之溫度的準備(爲了簡化例舉而未顯示出),由如 此之改良式電漿處理系統所產生的蝕刻相對於由目前之未 改良的電漿處理系統(其並未包括溫度調制機制的準備) 所產生的蝕刻更加可再生的· 當不想要受到理論所限制的同時,在未改良的電漿處 (請先閲讀背面之注本頁) 裝. 、Tr 線 本紙永尺度遒用中面國家樣準(CNS ) A4洗格(210X297公釐) • 13 鍾濟部中央揉率Λ貝工消费合作杜印氧 Α7 Β7 五、發明説明A ) 理系統中對溫度控制的缺乏非所欲地在時間上影響氧化物 蝕刻特性並遭受不佳的再生性所苦,相信蓮逢頭3 0 4 ( 說明於下)的溫度也受到上面所述之溫度控制機制所控制 ,已經發現在氧化物蝕刻期間,來自在電漿處理室3 0 2 中所產生的電漿之一定量的聚合物凝聚於蓮蓬頭3 0 4之 相對較冷的表面上。當使用其他的蝕刻化學物質(不同於 本發明)及那些對習於此技者而言爲已知的化學物質來幫 助蝕刻時,在晶圓蝕刻前緣可能缺乏聚合物,此聚合物短 缺相信會使所需之眾所皆知介於聚合物形成與氧化物蝕刻 間的平衡斷裂*其致使以高氧化物對氮化物選擇性爲特徵 的蝕刻。但是,如同稍後將1說明,本發明的化學物質提 供最佳的蝕刻特性,其包括ΐ未改良之電漿處理.系統中的 高氧化物對氮化物選擇性。 在本發明的一個實施例中,在圖3的電漿反應器中, 介於蓮蓬頭(上電極)3 0 4與夾盤(下電極)3 10之 間的間隙大約是5 . 5公分,但是,最好此間隙被放大且 爲大約1 0公分》相信增加介於夾盤3 1 0與蓮蓬頭 3 0 4之間的間隙可以提髙相關於氮化物層之蝕刻選擇性 的均勻性,換言之,藉由增加介於夾盤3 2 0與蓮蓬頭 3 0 4之間的間隙,在蝕刻期間從晶圓的中心區域所去除 之氮化物層的量實際上類似於在晶圓之邊緣處所去除的量 〇 如在圖3中所顯示,典型地配置於室3 0 2的下面或 者室3 0 2的側邊之渦輪分子泵3 0 1維持室3 0 2內的 表纸張尺度遑用中國國家橾率(CNS > Α4Λ格(210X297公釐) ; 1—;—J---装— (請先閲讀背面之注意事項ο寫本頁) 訂 -線 A7 B7 五、發明说明& 經濟部中央橾率扃貝工消费合作社印装 適當壓力。在一實施例中,渦輪分子泵3 0 1具有2 0 0 0公升/秒的容量β但是,根據本發明之較佳實施例,在 降低成本的努力上,改良式電漿反應器設計使用一種具有 大約1 3 0 0公升/秒之相對低容量的渦輪分子栗3 0 1 相信高的總流動速率(在室3 0 2內之源蝕刻氣體, 添加物及類似的氣體等等的總流動速率),此總流動速率 可以由具有大約2 0 0 0公升/秒之高容量的渦輪分子泵 來達成,提供相關於氮化物層之所需的氧化物蝕刻選擇性 ,其未能以低的總流動薄率來實現,而此低的總流動速率 係由大約1 3 0 0公升/秒之低容量渦輪分子泵所達成的 。也很重要地注意到在蝕刻&程中,其使用具有、相對小容 積的電漿室(例如,因爲介於夾盤與蓮蓬頭之間的間隙係 大約5 . 5公分)及/或相對高容量渦輪分子泵(例如, 爲大約2000公升/秒),產生最佳的或可接受的蝕刻 速率及氧化物蝕刻特性之化學物質和製程參數,當他們被 實施於使用相對大容積的電漿室(例如,因爲介於夾盤與 蓮蓬頭之間的間隙係大約10公分)及/或使用相對低容 量渦輪分子泵(例如,爲大約1 3 0 0公升/秒)之蝕刻 製程中時不能夠提供最佳的或可接受的蝕刻速率及氧化物 蝕刻特性。爲此目的,當本發明係以一改良式電漿反應器 設計來實施而使用相對小容積之電漿室及/或相對低容量 渦輪分子泵時,本發明之化學物質及製程參數提供最佳的 或可接受的蝕刻速率與氧化物蝕刻特性。 請 先 閲. 讀 背 面 之 注 V 寫 本 頁 裝 訂 線 本纸張尺度適用中•國家揉率(CNS ) Α4规格U10X 297公釐) • 15· 鍰濟部中失揉率Λ貝工消费合作杜印装 A7 _ B7_五、發明説明4 ) 現在回到參考圖3,典型上在室3 0 2之內提供一氣 體分布平板或蓮蓬頭3 0 4,其最好是由矽氮化物所做的 ,並包含複數個孔,其用以將例如蝕刻劑源氣體之氣態的 源材料釋放進入介於蓮蓬頭3 0 4與晶圓3 5 0之間的 RF感應電漿區域,此氣態源材料也可以從建構於室本身 之壁內的埠被釋放出。晶圓或基體3 5 0被導引入室 3 0 2並被放置在夾盤3 1 0上,此夾盤3 .1 0充當下電 極且最好由射頻產生器320(也典型上經由匹配網路) 所偏壓,夾盤3 1 0也包括一聚焦環(爲了簡化例舉而未 被顯示出),其最好係由矽氮化物或矽氮化物與矽碳化物 所做的並位於下電極處,可以使用靜電箍將晶圓3 5 0固 定於夾盤3 2 0。 ^ 氮冷卻氣體在壓力下(例如,在一實施例中大約2 0 To r r被導引在介於夾盤3 1 0與晶圓3 5 0之間用來 充當在處理期間正確地控制晶圖之溫度的傳熱介質以保證 均勻且可重複的蝕刻結果。在電漿蝕刻期間,在室3 0 2 之內的壓力在接觸孔蝕刻期間最好藉經由埠3 6 0排出氣 體而被保持在例如介於稍微在0毫To r r以上與大約 20毫To r r之間的低壓。複數個加熱器(爲了簡化例 舉而從圖3中省略)可以被提供來維持蝕刻用之適當的室 溫度,爲了提供電路徑到接地,典型上使室3 0 2的室壁 接地。 重要的是,注意到上面的改良式電漿處理系統如前所 述地增加蝕刻的再生性。此外,在製造聚焦環及蓮蓬頭方 請. 閲· 讀 背 面· 之 注 A 寫 本 頁 裝 訂 線 本纸依尺度適用中颺國家椹準(CNS ) Α4规格(210X297公釐) -16- A7 B7 五、發明说明么) 經濟部中央標率為負工消费合作社印«. 面矽氮化物的使用相信可以減少晶 污染,仍進一步地,使用相對便宜 漿處理系統的成本,本發明的化學 些改良式電漿處理系統中的氧化物 像在此所使用的術語^最佳化#意 與氧化物對氮化物選擇性平衡以提 氧化物層對氮化物選擇性兩者而言 。當然,習於此技者將確認在氧化 ,也就是說,高的氧化物蝕刻速率 化物選擇性之花費來實現,高的氧 以用差的氧化物蝕刻速率之β花費來 質及製程參數對氧化物蝕刻ί率與 二者而言提供最佳的可接受的値。 如上所述,當使用單蝕刻步驟 介於大約1:1與4:1間之深寬 或等於1微米之相對淺的特色之自 數及化學物質可以有效地致使髙的 。在那些較適合使用雙步驟蝕刻製 具有等於或大於3:1之深寬比或 之深度的自調式接觸,在介於第一 驟之間可以改變不同的製程參數。 一蝕刻步驟被設計而具有低於第二 化物選擇性。此外,單蝕刻步驟製 數實際上類似於雙步騍蝕刻製程的 圓之金靥插頭化合物的 的渦輪分子栗來降低電 物質及製程參數使在這 蝕刻特性達最佳化。就 謂著使氧化物蝕刻速率 供對氧化物蝕刻速率及 爲最佳的或可接受的値 物蝕刻方面總是有取捨 可以用差的氧化物對氧 化物對氮化物選擇性可 實現,本發明的化學物 氧化物對氮化物選擇性 製程時, 比或者例 調式接觸 氧化物對 程的實例 者大於大 蝕刻步騍 在雙步騾 蝕刻步驟 程的化學 第二蝕刻 例如用於具有 如深度爲少於 ,單組製程參 氮化物選擇性 中,例如用於 約0 . 7微米 與第二蝕刻步 蝕刻製程的第 的氧化物對氮 物質及製程參 步驟。應該牢 請 先 « 讀 背 面 之 注 意 事 ‘ I 寫焚· 本衣 頁 ΤΓ 線 本紙張尺度適用中•國家橾準《CNS ) A4规格(210 X 297公釐) •17 經濟部中*揉隼扃貝工消费合作社印*. A7 ______B7_五、發明説明& ) 記於心,雖然雙步驟蝕刻製程可以被使用來形成可以用單 蝕刻步驟製程形成的接觸孔,但是,對於等於或大於3 : 1或者大於大約0 . 7微米之深度的接觸孔而言,使用雙 步驟蝕刻製程係較佳的。 在雙步驊蝕刻製程中,化學物質及製程參數從第一蝕 刻步驟改變到第二蝕刻步驟,因此,從下面的說明應該了 解當蝕刻從雙步驟蝕刻製程中的第一蝕刻步驟進行到第二 蝕刻步驟時,可以改變一個以上或者所有上面所說明的製 程參數。 圖4顯示根據本發明在蝕刻期間所使用之各種的製程 參數及他們的近似値,應該¥記於心在圖4中所顯示之値 係當一 矽晶圓經過蝕刻所獲得的。藉由實例,在第 一蝕刻步驟中之蝕刻劑源氣體的壓力通常視形體尺寸,例 如接觸孔的寬度,及被蝕刻之氧化物的本質,例如藉 TEOS (四乙基原矽鹽酸),所沈積之氧化物BPSG (硼磷矽酸鹽玻璃)氧化物等等而定,在第一蝕刻步驟中 的壓力最好可以在介於大約5毫T‘o r r與大約1 5毫 To r r之間的範圍中,第二蝕刻步驟中的壓力一般可以 是小於或等於大約3奄To r r且最好是在介於大約1毫 To r r與大約3毫To r r之間· 在本發明中,一方面,CH2F2的流動速率係有利地 從第一蝕刻步騄增加到第二蝕刻步驟,或者在第一蝕刻步 驟間,CH2F2不存在,但是被導引進入電漿處理室中以 幫助第二蝕刻步驟,相信增加的C H2F 2氣體的流動有利 請 先 » 讀 背 Λ 之 注 意 事 本 頁 裝 訂 線 本纸張尺度適用中明國家樣準(CNS > Α4规格(210X297公釐) -18- «濟部中夹橾準局工消费合作杜印装 A7 __B7_ 五、發明说明^ ) 地提高氧化物對氮化物及基體的選擇性》在雙步驟蝕刻製 程的第二蝕刻步驟中或者在單蝕刻步驟製程中之C H2 F 2 的流動速率一般視形體的形狀,例如在閘極之上的保護性 氮化物層之轉角的倒圓程度,保護性氮化物層及氧化物層 的厚度,以及接觸孔的形狀及尺寸而定,在第二蝕刻步驟 中,CH2F2之流動速率的範圍最好在介於大約2 0到大 約5〇sccm之間。 根據本發明,一方面雙步驟蝕刻製•程的第一蝕刻步驟 最好被允許儘可能地繼續深入至氧化物層的最深處但沒有 損壞保護性氮化物層,這是因爲第一蝕刻步驟被最佳化以 使釘齒狀蝕刻的可能性達最71、,而同時達成商業上有利的 蝕刻速率,均勻性,及蝕刻速'率負載値之故。_. 在一實施例中,第一蝕刻步驊被中止於當其到達由保 護性氮化物形體所形成之平面(在此稱爲 ' 氮化物層的頂 平面)上的預定位置時,例如由圖1 A之頂側氮化物層 3 2及3 4所形成的平面,此第一蝕刻步驟中止之預定位 置的範圍最好在介於大約氮化物層的頂平面與在此氮化物 層的頂平面以上大約1 0 0 0埃處之間,尤其更好的是此 預定位置的範圍在介於大約氮化物層的頂平面與在此氮化 物層的頂平面以上大約2 5 0埃處之間,甚至最好的是, 此預定位置係大約與氮化物層的頂平面平齊。 注意對於由雙步驟蝕刻製程所形成的接觸孔,而同時 較高的氧化物對氮化物選擇性可能造成釘齒狀蝕刻,如果 其被使用於第一蝕刻步驟中而言,第二蝕刻步驟具有較高 本纸張尺度適用中·國家操準(CNS > A4規格(210X297公着) ----^---_---^-----.—IT------線 * , -(請先W讀背面之注意Ϋ項^寫本頁) -19- 五、發明説明乂 ) A7 B7 鍾濟部中央樣準局貝工消费合作杜印11 的氧化物對氮化物選擇性此一事實並不一定意謂將會導致 不良品質的自調式接觸孔,這是因爲自調式接.觸孔首先用 一化學物質與一組具有較低之氧化物對氧化物選擇性的製 程參數來蝕刻而不是用較高之氧化物層對氮化物選擇性化 學物質與秘方來蝕刻,直到蝕刻已經進展至相當深入氧化 物層之內剛好到達保護性氮化物層的上面爲止之故。如果 因爲此較高的氧化物對氮化物選擇性化學物質及秘方而形 成任何的釘齒狀蝕刻,並沒有機會開始直到接觸孔幾乎被 蝕刻通過介於閘極之間,且其對蝕刻外形的影響將因此而 爲最小。如同習於此技者所可以領會的,本發明此一方面 傾向改善接觸被蝕刻外形將曹更加垂直且具有較少的貼近 氮化物側壁之未被蝕刻的氧化~物殘餘(由於高敗選擇性第 二鈾刻步驟)而同時減少釘齒狀蝕刻之事件的機會。當然 ,在具有相對較低的得寬比且係相對淺之接觸孔的情況中 *本發明的單步驟蝕刻化學物質與秘方不夠深入氧化物層 之內來形成如上所述的釘齒。 下面的表1顯示從圖4之實驗中所獲得之近似的製程 結果,如同在表1中所顯示,本發明之蝕刻製程致使高度 有利的蝕刻速率,均勻性以及氧化物層對氮化物選擇性, 重要的是注意到一般以差的氧化物對氮化物選擇性來實現 高的氧化物蝕刻均勻性或者反之亦然,但是,本發明的化 學物質及製程參數令人驚訝且意外地提供包括高的氧化物 蝕刻均勻性結合高的氧化物對氮化物選擇性之蝕刻特性。 表1的結果也潁示覆蓋多晶矽閘極之保護性氮化物層 請 先 閱* 讀 背 之 注 意 裝 订 線 本纸張尺度適用中a困家揉準(CNS ) Α4Λ»· ( 210X297公廉) -20- Β7 五、發明説明4 ) 的損壞爲最小的,在接觸孔內的蝕刻外形被觀察係相對沒 有草,亦即常常在蝕刻之後由於在氧化物層材.料之沈積方 面的不均勻性所留下來的氧化物層殘餘。 表1 參數 製程結果 第一蝕刻步驟.第二蝕刻步驟 /單蝕刻 蝕刻速率(埃/分) 10,000 8,000-10,000 均勻性(1 σ ) 3% 3% 氧化物對氮化物選 擇性 1至10:1〜 * —_ 20至40:1 對於習於此技者而言,從此揭示的觀點來看,修改在 此所揭示之値成爲適合蝕刻於其他的電漿蝕刻系統中,蝕 刻具有其他尺寸的晶圓及基體將是明顯的。 經濟部中夹橾準扃貝工消费合作社印簟 ----:---:---^-- * (請先閲讀背面之注意事項^^寫本頁) 線 根據本發明的一方面,圖5顯示在發明之雙步驟自調 式接觸孔蝕刻製程中所涉及的步驟。在步驟5 0 0中,預 先準備一用以蝕刻於傳統之前蝕刻步驟中的晶圓,此前蝕 刻步驟可能包括例如沈稹及形成光阻層之圖案於晶圓上, 使晶圓固定於夾盤上,使電漿處理室內的壓力穩定,以及 將氦冷卻氣體導引至晶画背面以幫助介於晶圓與夾盤之間 的傳熱。 在第一蝕刻步驟5 0 2中,使用第一組製程參數和包 含C2F6及C2HF5之第一化學物質來蝕刻氧化物層,例 本纸張尺度逋用中國國家揉率(CNS > Α4规格U丨0X297公釐) •21 - 經濟部中央櫺準局貝工消费合作社印装 A7 _ B7_五、發明说明A ) 如圖1 A的氧化物層4 0,第一組製程參數幫助蝕刻通過 氧化物層,但沒有產生釘齒狀蝕刻。最好但不一定如此, 第一蝕刻步驟5 0 2被實施於比在後續之第二蝕刻步驟中 所使用之室蝕刻壓力高的室蝕刻壓力下,相信比較高的室 蝕刻壓力會減少釘齒狀蝕刻的可能性》如所注意的,第一 蝕刻步驟致使盡可能地深入至氧化物層之內而不會造成對 覆蓋多晶矽形體之保護性氮化物層.的損害。. 在第二蝕刻步驟5 0 4中,在有第二組製程參數和包 含C4F8及CH2F2i化學物質參與的情況下繼續氧化物 蝕刻,此第二蝕刻步驟最好進行直到自調式接觸孔被蝕刻 通到底層基體爲止。在此第蝕刻步驟中,高氧化物對氮 化物選擇性秘方及化學物質€使用有利於使對保護性氮化 物層之損害達最小並確保接觸孔中包括在氮化物側壁上之 氧化物殘餘之氧化物的實際去除。以此方式,第一蝕刻步 驟不必受到典型上與高選擇性秘方有關的不利結果之苦, 例如釘齒狀蝕刻之增加的可能性。 在步驟5 0 4中,晶圖可能經歷其他的處理步驟以及 在本質爲傳統的後蝕刻處理步驟以便製造所需之元件。之 後,完成的晶圖可以被切割成晶片,其然後可以被做成 I C晶片。最終的I C晶片,例如圓3的I C晶片352 ,然後可以被結合於電子裝置內,例如任何包含數位電腦 之眾所皆知的商用或消费者電子裝置·如先前所提及,單 蝕刻步驟製程係實際上類似於圖5的步驟504。- 下面提出本發明之單步驟蝕刻製程及雙步驟蝕刻製程 請 先. 閲 背 之 注 旁 裝 訂 線 本纸張尺度逋用中國國家標準(CNS )八4規<格(210X297公簸) • 22· 五、發明说明4 ) 的實例 實例1 使用單步驟蝕刻製程製做具有氧化物層之寬度爲大約 0 · 4 5微米(#m)及總深度爲大約〇 · 6微米的接觸 孔,在壓力爲大約2毫To r r,上電極(TCP)電力 爲大約1 3 0 0瓦,下電極(RF.偏壓)電力爲大約 1600瓦,CH2F2流動速率爲大約30sccm和 C4F8流動速率爲大約2 0 s c cm的情況下實施此蝕刻 大約6 0秒。 氧化物蝕刻速率量測爲,:約〇 . 9 5微米/分而且氧 化物對氮化物選擇性爲大於或^於大約4 0 : 1 實例2 使用雙步驟蝕刻製程製做具有寬度大約爲〇 . 6 0微 米及總深度大約爲1 . 7微米的接觸孔,在壓力爲大約5 毫T 〇 r r,上電極(TCP)電力及下電極(RF偏壓 )電力爲大約1 6 0 0瓦,C2HF5流動速率爲大約6 s c cm及C2F6流動速率爲大約1 9 s c cm的情況下 實施第一步驟犬約2 2秒,在壓力爲大約2毫T 〇 r r, 上電極(TC P)電力爲大約1 3 0 0瓦,下電極(RF 偏壓)電力爲大約1 8 0 0瓦,CH2F2流動速率爲大約 1 5 s c cm及C4Fe流動速率爲大約1 5 s c cm的情 況下實施第二步驟大約8 2秒。 本纸張尺度適用中屬國家揉準(CNS 規格(210X297公釐) 請 先 W * 讀 背 A 之 注 韋 A 本 頁 裝 •訂 線 經濟部4-央揉準局Λ工消费合作杜印氧 • 23· A7 B7 五、發明说明4 對於第一蝕刻步驟而言, 約1 . 1微米/分,對於第二 刻速率量測爲大約0 . 9 5微 選擇性爲大約或等於大約2 5 當此發明已經從幾個較佳 時,尙有變型,排列變換及相 •應該注意到有許多實施本發 ,因此意欲將下面所附加之申 有如此之落在本發明的真正精 變換及相等物。 氧化物層蝕刻速率量測爲大 蝕刻步驟而言,氧化物層蝕 米/分而且氧化物對氮化物 :1 ° 實施例的觀點來做說明的同 等物落在此發明的範疇之內 明之方法及裝置的替換方式 請專利範圍解釋成爲包含所 神與範禱之內的變型,排列 請 先 閲 讀 背 面 之 注 意 事 項 旁 裝 订 線 經濟部中央標準局貝工消费合作社印装 本纸張尺度逋用中«國家揉準(CNS > A4规格(210X297公釐) -24-

Claims (1)

  1. 六、申請專利範圍 1 .—種在電漿處理室中用以蝕刻通過晶圓之層叠的 氧化物層之預定部分來產生自調式接觸孔的方法,該晶圓 層叠包括一基體,一配置於該基體上之多晶矽層,一配置 於該多晶矽層上之氮化物層,該氧化物層係配置於該氮化 物層之上,該方法包括: 以一化學物質及一組製程參數來蝕刻通過該層叠的該 氧化物層,該化學物質基本上包括C4F8及CH2F2,該 組製程參數幫助蝕刻通過該氧化物層但不會產生釘齒狀蝕 刻’並且蝕刻該氧化物層而通到該基體佰不會實際地損壞 該氮化物層。 2 .如申請專利範圍第1項的方法,其中該自調式接 觸孔具有介於大約1:1與大約4:1之間的深寬比。 3 .如申請專利範圍第1項的方法,其中該自調式接 觸孔具有小於或等於大約1微米的深度。 4 .如申請專利範圔第3項的方法,其中該自調式接 觸孔具有小於或等於大約0.7微米的深度。 鲤濟部中夹標率為貝工消费合作杜印氧 5 ·如申請專利範圍第3項的方法,其中該氮化物層 被配置於在基體以上至多5 0 0 0埃的位置處。 6 .如申請專利範圍第5項的方法,其中該氣化物層 的上平面被配置於在基體以上至多2 5 0 0埃的位置處。 7 .如申請專利範圔第1項的方法,其中該電獎處理 室代表一變壓器耦合電漿蝕刻系統,其藉由上電極之€感 性耦合作用來撞擊電漿* 8·如申請專利範圍第7項的方法,更包括藉由使冷 本紙張尺度逍用中國國家梯率(CNS )八4規洛(210X297公藿) -25- 六、申請專利範圍 A8 B8 C8 ΌΒ 經濟部t央標率為貝工消费合作社印装 卻劑循環經過上電極來控制上電極的溫度。 9 .如申請專利範圍第1項的方法,其中該電欺處理 室的內部係由陽極化鋁所做的。 1 〇 .如申請專利範圍第1項的方法,其中該氣體分 布板係由矽氮化物所做的β 1 1 .如申請專利範圍第1項的方法,其中該第—化 學物質包含至少CF4,C2Fe及氬氣的其中一項。 1 2 ·如申請專利範圍第1 1項的方法,其中該晶圓 被固定在下電極之上,該下電極包含一由砂氮化物或砂碳 化物的其中之一所的聚焦環。 • ♦ 1 3 ·如申請專利範圍,1 2項的方法,其中該基體 係使用一靜電箍而被固定在下〜電極上。 1 4 .如申請專利範圍第1項的方法,其中該電漿處 理室代表一變壓器耦合電漿蝕刻系統,其藉由上電極之電 感性耦合作用來撞擊電漿,並且該基體被固定在下電極上 ,而且介於該上電極與該下電極之間的間隙係小於或等於 大約1 0公分。 1 5 .如申請專利範圍第1項的方法,更包括使用具 有大約2 0 0 0公升/秒之容量的渦輪分子泵來維持電漿 室之內的壓力。 1 6 .如申請專利範圔第1 5項的方法,更包括使用 具有大約1 3 0 0公升/秒之容量的渦輪分子泵來維持電 漿室之內的壓力· 17.—種在電漿處理室中用以蝕刻通過晶圓之層叠 I: 背 St 之 注 意 事 旁 裝 -訂 線 从張ΛΑ逋用中••JMt率(CNS》A4«UI· U10X297公釐) -26- 六、申請專利範圍
    經濟部中夫樣牵Λ貝工消费合作社印装 的氧化物層之預定部分來產生自調式接觸孔的方法,該晶 圖層包括一基體,一配置於該基體上之多晶矽層,一配置 於該多晶矽層上之氮化物層,該氧化物層係配置於該氮化 物層之上,該方法包括: 一以第一化學物質及第一組製程參數來局部蝕刻通過 該層叠之該氧化物層的第一蝕刻步驟,該第一化學物質基 本上包括C2Fe&C2HF5,該第一組製程參數代表一組 用以蝕刻通過該氧化物層但不會產生釘齒狀蝕刻的參數; 以及 一以第二化學物質及第二組製程參數來蝕刻該氧化物 ». 層而通到該基體之第二蝕刻参驟,該第二化學物質包括 C4F8及CH2F2,該第土組製程參數與該第一組製程參 數不同並代表一組用以蝕刻該氧化物層而通到該基體但不 會實際地損壞該氮化物層的參數。 1 8 .如申請專利範圍第1 7項的方法,其中該第二 化學物質更包含至少CF4,C2Fe及氬氣的其中一個》 1 9 .如申請專利範圔第1 7項的方法,其中該電漿 處理室使用上電極來撞擊電漿並且藉由使冷卻劑循環經過 該上電極來控制上電極的溫度· 2 0 .如申請專利範困第1 7項的方法*其中該第一 組製程參數導致在該第一蝕刻步驟中的氧化物對氮化物選 擇性低於在該第二蝕刻步驟中使用該第二製程參數所獲得 的氧化物對氮化物選擇性。 2 1 .如申請專利範園第1 7項的方法,其中該第一 本纸張尺度逍用中國國家樣準(CNS ) A4洗格(公着) -27 . 請 閱 面 之 注 裝 .訂 線 D8 六、申請專利範圍 蝕刻步驟終止於到達一預定的位置時,該預定位置係在由 該氮化物層的上表面所界定之平面以上大約1 〇 〇 〇埃到 一實際上等於該氮化物層之中點的位置的範圍內。 2 2 .如申請專利範圍第2 1項的方法,其中該第一 蝕刻步驟終止於到達一預定的位置時,該預定位置係在由 該氮化物層的上表面所界定之平面以上大約2 5 0埃到一 實際上等於該氮化物層之中點的位置的範圍內。 2 3 .如申請專利範圍第2 2項的方法,其中該第一 蝕刻步驟終止於實際到達由該氮化物層上表面所界定之平 面時。 •. 2 4 .如申請專利範圔第1 7項的方法,其中該第一 組製程參數包括一第一室蝕刻壓力且該第二組製、程參數包 括一第二室蝕刻壓力,該第二室蝕刻壓力低於該第一室触 刻壓力。 2 5 .如申請專利範圍第1 7項的方法,其中該電獎 處理室代表一高密度電漿蝕刻系統中的電漿處理室》 2 6 .如申請專利範圔第2 5項的方法,其中該高密 度電漿蝕刻系統代表一變壓器耦合電漿蝕刻系統。 2 7 .如申請專利範圍第1 7項的方法,其中該自調 式接觸孔具有大於或等於大約3:1的深寬比。 2 8 .如申請專利範圔第1 7項的方法,其中該自調 式接觸孔有有大於大約0·70微米的深度。 2 9 .如申請專利範圍第2 8項的方法,其中該氮化 物層被配置於在基體以上至多大約5 0 0 0埃的位置處。 本纸ft尺度逍用中••家梯準(CNS ) Α4Λ#· ( 210X297公釐) 請 先 閱 讀 背 之 注 意 事 項 裝 線 經濟部t夬標率局貝X消费合作社印*. 28· A8 B8 C8 D8 電感性耦合作用 上’而且介於該 約1 0公分。 3 1 .如申 具有大約2 0 0 漿室之內的壓力 3 2 ·如申 具有大約1 3 0 漿室之內的壓力 申請專利範園 3 〇 .如申請專利範圍第1 7項的方法,其中該電漿 處理室代表一變壓器耦合電漿蝕刻系統,其藉由上電極之 來撞擊電漿,並且該基體被固定在下電極 上與該下電極之間的間隙係小於或等於大 請專利範圍第17項的方法,更包括使用 0公升/秒之容量的渦輪分子泵來維持電 〇 請專利範圍第1 7項的方法,更包括使用 0公升/秒之容量的渦輪分子泵來維持電 請 先 聞 讀 背 ιέ 之 注 意 事 本 頁 裝 4 經濟部中央標舉工消费合作社印轚 張 中 用 逍 1 29 訂 線
TW088102338A 1998-03-30 1999-02-12 Self-aligned contacts for semiconductor device TW400571B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/052,276 US6133153A (en) 1998-03-30 1998-03-30 Self-aligned contacts for semiconductor device

Publications (1)

Publication Number Publication Date
TW400571B true TW400571B (en) 2000-08-01

Family

ID=21976539

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088102338A TW400571B (en) 1998-03-30 1999-02-12 Self-aligned contacts for semiconductor device

Country Status (6)

Country Link
US (1) US6133153A (zh)
EP (1) EP1070344A1 (zh)
JP (1) JP2004500696A (zh)
KR (1) KR20010042105A (zh)
TW (1) TW400571B (zh)
WO (1) WO1999054925A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3987637B2 (ja) * 1998-05-22 2007-10-10 東京エレクトロン株式会社 エッチング方法
US6228279B1 (en) * 1998-09-17 2001-05-08 International Business Machines Corporation High-density plasma, organic anti-reflective coating etch system compatible with sensitive photoresist materials
US6372634B1 (en) * 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
KR100727834B1 (ko) * 2000-09-07 2007-06-14 다이킨 고교 가부시키가이샤 드라이 에칭 가스 및 드라이 에칭 방법
US6642154B2 (en) * 2001-07-05 2003-11-04 The Regents Of The University Of California Method and apparatus for fabricating structures using chemically selective endpoint detection
US6475906B1 (en) * 2001-07-05 2002-11-05 Promos Technologies, Inc. Gate contact etch sequence and plasma doping method for sub-150 NM DT-based DRAM devices
US7456097B1 (en) * 2004-11-30 2008-11-25 National Semiconductor Corporation System and method for faceting via top corners to improve metal fill
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1168762A (en) * 1981-06-22 1984-06-05 Osamu Michikami Method of fabrication for josephson tunnel junction
JP3033104B2 (ja) * 1989-11-17 2000-04-17 ソニー株式会社 エッチング方法
US4987099A (en) * 1989-12-29 1991-01-22 North American Philips Corp. Method for selectively filling contacts or vias or various depths with CVD tungsten
US4980304A (en) * 1990-02-20 1990-12-25 At&T Bell Laboratories Process for fabricating a bipolar transistor with a self-aligned contact
EP0478283B1 (en) * 1990-09-26 1996-12-27 Hitachi, Ltd. Microwave plasma processing method and apparatus
JPH0590221A (ja) * 1991-02-20 1993-04-09 Canon Inc 珪素化合物膜のエツチング方法及び該方法を利用した物品の形成方法
US5286667A (en) * 1992-08-11 1994-02-15 Taiwan Semiconductor Manufacturing Company Modified and robust self-aligning contact process
JP3252518B2 (ja) * 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
JP3623256B2 (ja) * 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
EP0777267A1 (en) * 1995-11-28 1997-06-04 Applied Materials, Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5783496A (en) * 1996-03-29 1998-07-21 Lam Research Corporation Methods and apparatus for etching self-aligned contacts

Also Published As

Publication number Publication date
US6133153A (en) 2000-10-17
EP1070344A1 (en) 2001-01-24
WO1999054925A1 (en) 1999-10-28
KR20010042105A (ko) 2001-05-25
JP2004500696A (ja) 2004-01-08

Similar Documents

Publication Publication Date Title
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US8980758B1 (en) Methods for etching an etching stop layer utilizing a cyclical etching process
TWI492297B (zh) 電漿蝕刻方法、半導體裝置之製造方法、及電漿蝕刻裝置
US5783496A (en) Methods and apparatus for etching self-aligned contacts
US7273566B2 (en) Gas compositions
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
TW399240B (en) Self-aligned contacts for semiconductor device
TW468226B (en) Improved methods and apparatus for etching a conductive layer to improve yield
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
TW400571B (en) Self-aligned contacts for semiconductor device
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
US20070249177A1 (en) Method for Hard Mask CD Trim
JP2002520848A (ja) 2ステップ自己整合コンタクトエッチング
US6227211B1 (en) Uniformity improvement of high aspect ratio contact by stop layer
JP3862035B2 (ja) 半導体装置およびその製造方法
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
US6482744B1 (en) Two step plasma etch using variable electrode spacing
US5904862A (en) Methods for etching borophosphosilicate glass
JP2000021827A (ja) 半導体装置の製造方法
JPH1065003A (ja) 微細接続孔の形成方法
TW520549B (en) Method for etching dielectric layer
JPH06132253A (ja) 窒化珪素膜の反応性イオンエッチング方法