KR20010042105A - 반도체 소자용 자체 정렬 접촉부 - Google Patents

반도체 소자용 자체 정렬 접촉부 Download PDF

Info

Publication number
KR20010042105A
KR20010042105A KR1020007010472A KR20007010472A KR20010042105A KR 20010042105 A KR20010042105 A KR 20010042105A KR 1020007010472 A KR1020007010472 A KR 1020007010472A KR 20007010472 A KR20007010472 A KR 20007010472A KR 20010042105 A KR20010042105 A KR 20010042105A
Authority
KR
South Korea
Prior art keywords
etching
plasma
chamber
substrate
etch
Prior art date
Application number
KR1020007010472A
Other languages
English (en)
Inventor
린다엔. 마퀴스
자넷엠. 플래너
Original Assignee
로브그렌 리차드 에이치.
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 로브그렌 리차드 에이치., 램 리서치 코포레이션 filed Critical 로브그렌 리차드 에이치.
Publication of KR20010042105A publication Critical patent/KR20010042105A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

플라즈마 공정 챔버에서, 자체 정렬 접촉 구멍을 생성하기 위해 웨이퍼 적층 구조물의 산화물층 선택부를 따라 에칭을 실시하는 방법이 공개된다. 웨이퍼 적층 구조물은 기판, 기판 위의 폴리실리콘층, 폴리실리콘층 위의 질화물층, 질화물층 위의 산화물층으로 이루어진다. 이 방법은 화학 물질과 한 세트의 공정 패러미터로 적층 구조물의 산화물층을 따라 에칭하는 단계를 포함한다. 이때 화학 물질로는 C4F8과 CH2F2가 있다. 공정 패러미터 세트는 스파이크 에치를 생성하지 않으면서 산화물층을 따르는 에칭을 촉진시키고, 질화물층에 손상을 가하지 않으면서 기판까지의 산화물층 에칭을 용이하게 한다.
플라즈마 공정 챔버에서, 자체 정렬 접촉 구멍을 생성하기 위해 웨이퍼 적층 구조의 산화물층 선택부를 따라 에칭하는 2단계 에칭 방법이 공개된다. 이 방법은 제 1 에칭 단계와 제 2 에칭 단계를 포함한다. 상기 제 1 에칭 단계는 제 1 화학 물질과 제 1 세트의 공정 패러미터로 적층 구조물의 산화물층을 딸 부분적으로 에칭을 실시한다. 제 1 화학 물질로는 C2F6와 C2HF5가 있다. 제 1 세트의 공정 패러미터는 스파이크 에치를 생성하지 않으면서 산화물층을 따라 에칭하는 한 세트의 패러미터를 나타낸다. 제 2 에칭 단계는 제 2 화학 물질과 제 2 세트의 공정 패러미터로 기판까지 산화물층을 에칭한다. 상기 제 2 세트의 화학 물질로는 C4F8과 CH2F2가 있고, 제 2 세트의 공정 패러미터는 제 1 세트의 공정 패러미터와 다르며, 질화물층에 손상을 가하지 않으면서 기판까지 산화물층을 에칭하는 한 세트의 공정 패러미터를 포함한다.

Description

반도체 소자용 자체 정렬 접촉부{SELF-ALIGNED CONTACTS FOR SEMICONDUCTOR DEVICE}
금속 산화물 반도체(MOS) 트랜지스터와 같은 반도체 집적 소자의 제작시에, 자체 정렬 접촉부는 여러 장점을 제공한다. 설명을 돕기 위해, 도 1A와 1B는 적층 구조물(20)의 연관부를 단면으로 도시한다. 이때 상기 적층 구조물(20)은 자체 정렬 접촉부를 사용하는 전형적인 반도체 IC의 제작시에 형성되는 층들을 나타낸다. 도시되는 층의 위, 아래, 그 사이에 다른 추가적인 층들이 존재할 수도 있다. 결과적으로, "위"와 같이 여기서 사용되는 상대적 위치 용어는 층 사이의 직접 접촉을 반드시 표시하지는 않는다. 또한, 도시되는 층 전부가 반드시 나타날 필요는 없고, 다른 층들로 일부나 전부가 대치될 수도 있다.
도 1A에서, 적층 구조물(20)의 하부에 기판(22)이 도시된다. 기판(22)은 반도체 웨이퍼로서, 실리콘으로 이루어지는 것이 일반적이다. 보편적으로 SiO2인 산화물층(24, 26)이 기판(22) 위에 형성되어, 게이트 산화물층을 형성한다. 게이트 산화물층(24, 26) 위에, 폴리실리콘 게이트(28, 30)가 각각 배치된다. 폴리실리콘 게이트(28, 30) 각각은 이어 증착되는 질화물층(Si3N4또는 SixNy)의 질화물 영역에 의해 보호된다. 도 1A에서, 이 질화물 영역은 질화물 영역(32, 34)으로 도시된다. 게이트 산화물 영역, 폴리실리콘 게이트, 그리고 보호 질화물 영역 위에는, 산화물층(40)이 배치된다.
산화물층(40)을 통해 기판(22)까지 접촉 구멍(44)을 생성하기 위하여, 포토레지스트 물질(42)층이 증착되고 기존 포토리소그래피 단계를 이용하여 패터닝된다. 패터닝 이후, 포토레지스트층(42)에 초기 구멍이 형성되어, 다음의 산화물 에칭을 용이하게 한다. 앞서 기술된 층과 형태, 그리고 이 생성에 연관된 과정은 당 분야의 통상의 지식을 가진 자들에게 있어 잘 알려진 사실이다.
도 1B는 도 1A의 동일한 적층 구조물(20)과 그 층들을 도시한다. 그러나 도 1B에서는 접촉 구멍(44)이 산화물층(40)을 따라 에칭되었다. 이 접촉 구멍(44)을 통해, 금속 전도체가 형성될 수 있어서, 기판(22)의 드레인 및 소스 영역을 접촉시킨다. 예를 들어, 접촉 구멍(44)의 측벽(52)이 에칭되어, 접촉 구멍(4)이 기판(22)의 소스나 드레인 영역과 접촉하게 할 수 있다. 현재의 경우에, 접촉 구멍(44)은 자체 정렬 접촉부를 나타낸다. 즉, 게이트 산화물 영역, 폴리실리콘 게이트, 그리고 보호 질화물층으로 이루어지는 게이트 적층 구조의 전부나 일부를 접촉 구멍 측벽이 중복시키는 지에 관계없이 접촉 기능을 실행한다. 폴리실리콘 게이트 자체가 위에 놓인 질화물질에 의해 보호되기 때문에, 트랜지스터의 게이트를 드레인 및 소스와 단락시키지 않으면서 게이트 적층 구조물과 접촉 구멍(44) 간의 영역 D1 사이의 일부 오정렬이 존재할 수 있다. 그럼에도 불구하고, 질화물 영역(32)의 존재는 접촉 구멍(44)으로 증착되는 전도 접촉 물질로부터 폴리실리콘 게이트(28)를 절연시킨다.
자체 정렬 접촉부를 사용하는 것은 접촉 구멍 위치에 더 큰 유동성을 회로 설계자에게 제공한다. 일부 예에서, 자체 정렬 접촉부 사용은 게이트를 보다 밀착형으로 만들 수 있게 한다. 왜냐하면, 게이트간의 최소 거리가 접촉 구멍 크기에 제한되지 않기 때문이다(즉, 포토리소그래피와 산화물 에칭 공정의 정확성 등에 의해 제한된다).
앞서 기술된 자체 정렬 접촉 기술은 도 1B의 접촉 구멍(44)과 같은 접촉 구멍이 폴리실리콘 게이트 위에 놓이는 절연 질화물 영역에 손상을 가하지 않으면서 에칭되는 것을 필요로 한다. 다시 말해서, 높은 산화물-질화물 선택도를 가지는 산화물 에칭 공정으로 접촉 구멍을 에칭하는 것이 바람직하다. 이어 증착되는 금속층과 웨이퍼 사이에 적절한 접촉 영역을 보장하기 위하여, 질화물 측벽에 들러붙는 비에칭 산화물이 거의 없도록 에칭 프로파일이 수직 프로파일에 가능한 가깝게 되어야 한다. 또한, 웨이퍼 생산 속도를 향상시키기 위해, 접촉 구멍을 에칭할 때 산화물 에칭 속도를 개선하는 것이 바람직하다. 추가적으로, 웨이퍼 중앙에 위치하는 다이가 웨이퍼 변부에 위치하는 다이와 동일한 속도로 에칭되도록, 웨이퍼 전체에서 균일한 에칭 속도로 접촉 구멍을 에칭하는 것이 또한 바람직하다.
앞서로부터, 자체 정렬 접촉부를 에칭하는 개선된 방법 및 장치가 본 출원에서 얻고자 하는 것이다. 다른 장점 중에서도, 개선 방법 및 장치는 에칭 프로파일을 향상시키면서, 산화물 에칭 속도, 산화물-질화물 선택도, 그리고 에칭 균일성을 최대화시킨다.
본 발명은 반도체 집적 회로(IC) 제작에 관한 것이다. 특히 본 발명은 자체 정렬 접촉 구멍을 형성하기 위해 IC 제작 중 산화물층을 포함하여 IC 층 적층 구조를 따라 에칭하는 방법 및 장치에 관한 것이다.
도 1A는 자체 정렬 접촉부를 사용하는 전형적인 반도체 IC 제작 중 형성되는 층을 나타내는 적층 구조물의 단면도.
도 1B는 자체 정렬 접촉 구멍이 산화물층까지 에칭된 후 도 1A의 적층 구조물 도면.
도 2는 자체 정렬 접촉 구멍의 측벽에 형성되는 스파이크 에칭의 도면.
도 3은 창의적인 2단계 접촉 구멍 에칭 기술로 적절히 사용될 수 있는 플라즈마 반응기의 도면.
도 4는 본 발명의 한 실시예에 따라, 1단계 에칭 공정의 공정 패러미터와 2단계 에칭 공정의 제 1, 2 에칭 단계에 대한 공정 패러미터의 대략적인 선호값을 도시하는 표.
도 5는 본 발명의 한 태양에 따라, 창의적인 2단계 자체 정렬 접촉 구멍 에칭 공정에 포함되는 단계의 블록도표.
본 발명의 한 태양에서, 본 발명은 자체 정렬 접촉 구멍을 형성하기 위해 플라즈마 공정 챔버에서 웨이퍼 적층 구조물의 산화물 층 선택부를 따라 에칭하는 방법을 제공한다. 웨이퍼 적층 구조는 기판, 기판 위의 폴리실리콘층, 폴리실리콘층 위의 질화물층, 질화물층 위의 산화물층을 포함한다. 이 방법은 화학 물질과 한 세트의 공정 패러미터로 적층 구조물의 산화물층을 따라 에칭하는 단계를 포함한다. 이때 화학 물질로는 C4F8과 CH2F2가 있다. 한 세트의 공정 패러미터는 스파이크 에칭을 일으키지 않으면서 산화물층을 통한 에칭을 용이하게 하고, 질화물층에 손상을 가하지 않으면서 기판까지의 산화물층 에칭을 용이하게 한다.
또하나의 태양에서, 본 발명은 플라즈마 공정 챔버에서 자체 정렬 접촉 구멍을 생성하기 위해 웨이퍼 적응 구조의 선택부를 따라 에칭하는 2단계 에칭 방법을 제공한다. 웨이퍼 적층 구조는 기판, 기판 위의 폴리실리콘층, 폴리실리콘층 위의 질화물층, 질화물층 위의 산화물층으로 이루어진다. 이 방법은 제 1 화학 물질과 제 1 세트의 공정 패러미터로 적층 구조물의 산화물층을 따라 부분적으로 에칭하는 제 1 에칭 단계를 포함한다. 제 1 화학 물질로는 C2F6와 C2HF5가 있다. 제 1 세트의 공정 패러미터는 스파이크 에치를 생성하지 않으면서 산화물층을 따라 에칭하기 위한 한 세트의 패러미터를 나타낸다.
C4F8과 CH2F2로 이루어지는 제 2 화학 물질과 제 2 세트의 공정 패러미터로 기판까지 산화물층을 에칭하는 단계가 제 2 에칭 단계이다. 제 2 세트의 공정 패러미터는 제 1 세트의 공정 패러미터와 다르며, 질화물층에 손상을 가하지 않으면서 산화물층을 기판까지 에칭하기 위한 한 세트의 패러미터를 나타낸다.
본 발명의 이들 장점 및 타장점이 다음의 실시예와 도면을 본 후 명백해질 것이다.
자체 정렬 접촉 구멍 에칭시 개선된 산화물 에칭 속도 및 산화물 에칭 특성, 가령, 산화물-질화물 선택도, 에칭 균일성, 그리고 에칭 프로파일을 얻기 위해 발명이 기술된다. 다음의 내용에서, 본 발명의 완전한 이해를 돕기 위해 수많은 특정 예가 설명된다. 그러나, 이러한 특정 세부사항 전부나 일부없이도 본 발명이 구현가능하다는 것을 당 분야의 통상의 지식을 가진 자는 알고 있다. 다른 예에서, 본 발명의 본질이 불필요하게 흐려지는 것을 막기 위해 공지 단계는 세부적으로 설명되지 않는다.
본 발명은 게이트 전극 위에 배치되는 보호 질화물층에 손상을 가하지 않으면서 자체 정렬 접촉부를 효과적으로 형성하기 위해 산화물층을 따라 에칭하는 방법을 제공한다. 자체 정렬 접촉부의 애스펙트비나 깊이에 따라, 본 발명에 따르는 에칭이 단일 단계나 2단계로 실행될 수 있다. 상기 단일 단계에서는 적절한 화학 물질과 한 세트의 공정 패러미터를 사용하고, 상기 2단계에서는 각 단계별로 다른 화학 물질과 다른 세트의 공정 패러미터를 사용한다. 여기서 사용되는 애스펙트비라는 용어는 산화물층 표면에서 접촉 구멍 폭에 대한 접촉 구멍 깊이의 비를 말한다.
본 발명의 한 실시예에 따라, 약 1 마이크로미터 이하, 선호되는 값으로는 0.7 마이크로미터 이하인 깊이나 1:1과 4:1 사이의 애스펙트비를 가지는 자체 정렬 접촉 구멍이 단일 에칭 단계에서 형성된다. 이 단계에서, 스파이크 에치를 생성하지 않으면서 산화물층을 따르는 에칭을 용이하게 하는 한 세트의 공정 패러미터와 C4H8과 CH2F2를 포함하는 화학 물질을 사용함으로서 에칭이 실행된다. 이 예에서, 도 1A 및 1B의 층(32, 34)과 같은 보호 질화물층의 상부면이 기판 표면 위 최대 5000 옹스트롬에 배치되고, 기판 표면위 최대 2500 옹스트롬에 배치되는 것이 선호된다.
본 발명의 또하나의 태양에 따라, 0.7 마이크로미터 이상의 깊이나 3;1 이상의 애스펙트비를 가지는 자체 정렬 접촉 구멍이 2단계 에칭 공정으로 에칭된다. 상기 2단계 에칭 공정은 C2F6, C2HF5, CH2F2, C4F8과 부가적으로 아르곤이나 플라즈마 공정 챔버의 에칭 소스 기체 첨가제로서 CF4를 사용한다. 제 1 에칭 단계에서, 접촉 구멍은 스파이크 에칭 발생을 방지하도록 고안된 제 1 세트의 공정 패러미터와 C2F6및 C2HF5를 포함하는 제 1 화학 물질로 에칭되는 것이 선호된다. 더욱이, 제 1 화학 물질은 아르곤을 포함하는 것이 선호되고, 이것이 포토레지스트 선택도를 향상시킬 수 있다. 또한, 제 1 화학 물질은 플라즈마 공정 챔버의 에칭 소스 기체 첨가제로 CF4를 또한 포함할 수 있다. 여기서 사용되는 스파이크 에치라는 용어는 접촉 구멍이 에칭 바닥부에서 점점 좁아지도록 각각의 측벽에 뒤틀림이 생기는 현상을 말한다. 도 2에 도시되는 바와 같이, 한계 위치(62)로부터 시작하여 접촉 구멍 중심 선(60)을 향해 측벽이 가늘어질 때 접촉 구멍(44)이 스파이크 에치를 가지게 된다. 일부 경우에, 중심선(60)을 향해 접촉 구멍 측벽이 가늘어지기 시작하기 전에 접촉 구멍의 임계 치수가 좁아질 수 있다.
그 다음에, 산화물-질화물 선택도를 증가시키기 위해 최적화되는 제 2 세트의 공정 패러미터와 C4F8및 CH2F2를 포함하는 제 2 화학 물질로 제 2 에칭 단계가 실행된다. 더욱이, 제 2 화학 물질은 플라즈마 공정 챔버의 에칭 소스 기체로 CF4나 C2F6를 포함할 수도 있다. CF4, C2F6, 또는 아르곤같은 첨가제를 추가하는 것은 잔여 산화물 제거를 향상시키고 에칭 프로파일을 개선시킨다. 앞서 언급한 바와 같이, 산화물-질화물 선택도 향상은 접촉 구멍 에칭시에 폴리실리콘 게이트 위에 놓이는 보호 질화물 영역의 손상 기회를 감소시킨다.
창의적인 단일 단계 및 2단계 자체 정렬 접촉 구멍 에칭 기술은 어떤 공지된 플라즈마 공정 장치에서도 실행될 수 있고, 그 예로는 건식 에칭, 반응성 이온 에칭(RIE), 자화 증가 반응성 이온 에칭(MERIE), 전자 사이클로트론 공명(ECR), 등에 적용되는 공정 장치가 있다. 건식 에칭용의 전형적인 플라즈마 공정 챔버에서 웨이퍼는 플라즈마로 처리된다. 챔버는 챔버 내부에 공정 에칭 소스 기체를 공급하는 유입 포트를 포함한다. 적절한 RF 에너지원이 챔버와 관련된 전극에 연결되어, 에칭 소스 기체로부터 플라즈마를 유도한다. 에너지 자체는 플라즈마 유지를 위해 유도적 또는 충전적으로 연결될 수 있다(공지 사실). 에칭 소스 기체로부터 이때 종이 형성되어, 적층 구조와 반응하고 웨이퍼 적층 구조물의 플라즈마 접촉 영역에서 에칭되어 사라진다. 휘발성일 수 있는 부산물은 유출 포트를 통해 빠져나간다.
플라즈마 에칭은 웨이퍼가 웨이퍼 공정 중 양극 또는 접지 전극 위에 위치하는 상황에 관련된다. 다른 한편, 반응성 이온 에칭(RIE)은 웨이퍼가 음극 또는 웨이퍼 공정 중 전력을 공급받는 전극 위에 위치하는 상황에 관련된다. 자화 증가 반응성 이온 에칭(MERIE)은 다양한 RIE 반응기 형태를 나타내고, 이때 반응기 벽 표면에 여기 전자의 손실을 감소시키기 위해 자기장이 가해진다. 일부 상황에서, MERIE 반응기는 전극으로부터 플라즈마 전자까지 에너지 전송 효율을 증가시킬 수 있다.
앞서의 반응기나 다른 적절한 플라즈마 공정 반응기에서도 발명이 구현될 수 있다. ECR 마이크로파 플라즈마원을 통해 충전적으로 연결된 평행 전극판이나, 유도적으로 연결된 RF 원(헬리콘, 헬리컬 레조네이터, 그리고 변압기 연결 플라즈마 등) 중 어느 것을 통해 플라즈마로 에너지가 전달되는 지에 상관없이 앞서의 내용은 사실이다. ECR 및 TCP(변압기 연결 플라즈마)공정 시스템은 상업적으로 즉시 이용가능하다. TCP 시스템은 고밀도 플라즈마 시스템류를 나타내고, 미국, 캘리포니아, 프레몬트 소재의 램 리서치 코포레이션 제품을 이용할 수 있다.
선호되는 실시예에서, 본 발명은 램 리서치 코포레이션 제품인 수정형 TCP 9100 플라즈마 반응기에서 구현된다. 그러나, 앞서 언급한 바와 같이 어떤 기존의 적절한 플라즈마 공정 시스템도 사용될 수 있다. 도 3은 웨이퍼(350) 및 집적 회로 칩(352)을 포함하는 수정형 TCP 9100 플라즈마 반응기의 단순화된 도면이다. 웨이퍼가 창의적인 2단계 자체 정렬 접촉 구멍 에칭에 따라 에칭되고 기존의 사후 에칭 단계에서 처리된 후 웨이퍼(350)로부터 잘려진 다이로부터 상기 집적 회로 칩(352)이 제작된다. 도 3에서, 웨이퍼 반응기(300)는 양극 알미늄으로 만들어지는 것이 선호되는 플라즈마 공정 챔버(302)를 포함한다. 챔버(302) 위에는 전극(303)이 배치되고, 도 3의 예에서 코일로 구현된다. 코일(303)은 정합망을 통해 RF 제너레이터(305)에 의해 에너지화된다.
도 3의 플라즈마 공정 챔버(302)의 수정 설계는 코일(303) 온도 제어를 위해 코일(303) 내부에 글리콜이나 이온제거된 물과 같은 냉매 순환용 장치를 포함한다. 이러한 수정형 플라즈마 공정 시스템에 의해 생성되는 에칭은 현재의 비수정 플라즈마 공정 시스템(온도 제어 메카니즘 장치를 포함하지 않음)에 의해 생성되는 에칭보다 상대적으로 재생력이 뛰어나다.
비수정 플라즈마 공정 시스템에서 온도 제거 장치가 없다는 것은 시간에 대한 산화물 에칭 특성에 불요한 해를 가하고, 낮은 재생력으로 고생하게 한다. 샤워헤드(304)의 온도 역시 앞서 언급한 온도 제어 메카니즘에 의해 제어된다. 산화물 에칭중, 플라즈마 공정 챔버(302)에서 생성된 플라즈마로부터 폴리머의 일부는 샤워헤드(304)의 상대적으로 찬 표면에 응축된다. 본 발명의 화학 물질과는 다른 에칭 화학 물질과 당 분야에 공지된 화학 물질이 사용되어 에칭을 촉진시킬 때, 웨이퍼 에칭면에 폴리머 부족이 야기될 수 있다. 이 폴리머 부족은 높은 산화물-질화물 선택도로 규정되는 에칭을 유도하는 폴리머 형성 및 산화물 에칭간 필요 균형을 붕괴시킨다. 그러나 앞서 설명한 바와 같이, 본 발명의 화학 물질은 수정형 플라즈마 공정 시스템에서 높은 산화물-질화물 선택도를 포함하는 최적의 에칭 특성을 제공한다.
본 발명의 한 실시예에서, 도 3의 플라즈마 반응기의 경우, 샤워헤드(상부 전극(304)과 척(하부전극)(310)간 간격은 약 5.5cm이다. 그러나, 이 간격이 10cm에 이르는 것이 선호된다. 척(310)과 샤워헤드(304) 간의 간격 증가는 질화물층에 대한 에칭의 선택도 균일성을 향상시킬 수 있다. 다시 말해서, 척(310)과 샤워헤드(304)간 간격을 증가시킴으로서, 웨이퍼 중심 영역으로부터 에칭중에 제거되는 질화물층의 양이 웨이퍼 변부에서 제거되는 양과 비슷해진다.
도 3에 도시되는 바와 같이 챔버(302) 아래나 챔버(302)의 측부에 위치하는 터보분자 펌프(301)는 챔버(302) 내에 적절한 압력을 유지한다. 한 실시예에서, 터보분자 펌프(301)는 2000 리터/초의 용량을 가진다. 그러나 비용절감을 위해, 본 발명의 선호되는 실시예에 따르는 수정형 플라즈마 반응기 설계는 약 1300 리터/초의 저용량(상대적)을 가지는 터보분자 펌프(301)를 사용한다.
약 2000 리터/초의 대용량을 가지는 터보분자 펌프에 의해 달성될 수 있는 높은 총유동 속도는 약 1300 리터/초의 저용량 터보분자 펌프에 의해 달성되는 낮은 총유동속도에 의해 실현되지 않을 수 있는 질화물에 대해 바람직한 산화물 에칭 선택도를 제공한다. 여기서 총유동속도란 챔버(302) 내부에서 소스 에칭제 기체나 첨가물 등을 말한다. 상대적으로 작은 체적의 플라즈마 공정 챔버(가령, 척(310)과 샤워헤드(304)간 간격이 5.5 cm)와 상대적 대용량의 터보분자 펌프(가령 2000 리터/초)를 사용하는 에칭 공정에서 최적 또는 수용가능한 에칭 속도와 산화물 에칭 특성을 생성하는 화학 물질 및 공정 패러미터가 상대적으로 큰 체적을 가지는 플라즈마 챔버(가령, 척(310)과 샤워헤드(304)간 간격이 10cm)를 사용하거나 상대적 저용량의 터보분자 펌프(가령, 1300 리터/초)를 t이용하는 에칭 공정에서 구현될 때 최적 또는 수용가능한 에칭 속도와 산화물 에칭 특성을 보이지 못할 수 있다. 이를 위해, 본 발명의 화학 물질 및 공정 패러미터는 수정된 플라즈마 반응기 설계(소체적 플라즈마 챔버 및 저용량 터보분자 펌프)가 구현될 때 최적 또는 수용가능한 에칭 속도 및 산화물 에칭 특성을 제공한다.
도 3에서, 챔버(302) 내에는 기체 분배판 또는 샤워헤드(304)가 제공된다. 이는 실리콘 질화물로 만들어지는 것이 선호되고, 샤워헤드(304)와 웨이퍼(350)간의 RF 유도 플라즈마 영역으로 기체 소스 물질(에칭 소스 기체)을 방사하기 위한 다수의 구멍을 포함한다. 기체 소스 물질은 챔버 자체의 벽에 구축된 포트로부터 방사될 수도 있다. 웨이퍼나 기판(350)이 챔버(302) 내로 삽입되고, 척(310) 위에 배치된다. 상기 척(310)은 하부 전극으로 작용하고, RF 제너레이터(320)(일반적으로 정합망을 통함)에 의해 바이어스되는 것이 선호된다. 척(310)은 실리콘 나이트라이드나 실리콘 나이트라이드 및 실리콘 카바이드로 만들어지는 초점 링을 포함하고, 상기 초점 링은 하부 전극에 위치한다. 웨이퍼(350)는 정전 클램프를 이용하여 척(320)에 고정될 수 있다.
헬륨 냉각 기체가 척(310)과 웨이퍼(350) 사이에 (한 실시예에서 약 20 토르의 압력으로) 삽입된다. 이는 균일하고 반복적인 에칭 결과를 얻기 위해 공정 중 웨이퍼 온도를 정확하게 제어하는 열전달 매체로 작용한다. 플라즈마 에칭 중, 챔버(302) 내의 압력은 접촉 구멍 에칭에서 포트(360)를 통해 기체를 빼냄으로서 0-20 mTorr 사이와 같이 낮게 유지되는 것이 선호된다. 다수의 히터(도면에 도시되지 않음)가 제공되어 에칭중 적절한 챔버 온도를 유지시킬 수 있다. 접지부까지 전기 경로를 제공하기 위해, 챔버(302)의 챔버벽이 접지되는 것이 일반적이다.
앞서의 수정형 플라즈마 공정 시스템이 앞서 언급한 바와 같이 에칭의 재생력을 증가시킨다는 점이 중요하다. 더욱이, 초점 링과 샤워헤드 제작시 실리콘 나이트라이드를 사용하는 것이 웨이퍼의 금속 플러그 조성 오염을 감소시킨다. 또한, 저렴한 터보분자 펌프를 이용하는 것이 플라즈마 공정 시스템의 가격을 내린다. 본 발명의 화학 물질과 공정 패러미터는 수정형 플라즈마 공정 시스템의 산화-에칭 특성을 최적화한다. 여기서 사용되는 "최적화"라는 용어는 산화물 에칭 속도와 산화물-질화물 선택도에 대한 최적 또는 수용가능한 값을 제공할 수 있도록 산화물 에칭 속도가 산화물-질화물 선택도에 대해 균형을 이루는 것을 의미한다.
물론, 당 분야의 통상의 지식을 가진 자는 산화물 에칭에 균형이 항상 존재함을 알고 있을 것이다. 즉, 높은 산화물 에칭 속도는 낮은 산화물-질화물 선택도를 대가로 실현되고, 높은 산화물-질화물 선택도는 낮은 산화물 에칭 속도를 대가로 실현될 수 있다. 본 발명의 화학 물질 및 공정 패러미터는 산화물 에칭 속도와 산화물-질화물 선택도 모두에 대해 최적의 값을 제공한다.
앞서 언급한 바와 같이 단일 에칭 단계 공정이 사용될 때, 즉 애스펙트비가 1:1에서 4:1 사이이거나 깊이가 1마이크로미터 이하인 접촉부의 경우, 단일 세트의 공정 패러미터와 화학 물질이 높은 산화물-질화물 선택도를 이끌어내기에 효과적일 수 있다. 2단계 에칭 공정이 선호되는 예에서, 즉, 애스펙트비가 3:1 이상이고 깊이가 0.7 마이크로미터 이상인 자체 정렬 접촉부에서, 제 1 에칭 단계와 제 2 에칭 단계 사이에 여러 공정 패러미터가 변할 수 있다. 2단계 에칭 공정의 제1 에칭 단계는 제 2 에칭 단계보다 낮은 산화물-질화물 선택도를 가지도록 고안된다. 더욱이, 단일 에칭 단계 공정의 화학 물질 및 공정 패러미터가 2단계 에칭 공정의 제 2 에칭 단계와 유사하다. 단일 에칭 단계 공정으로 형성될 수 있는 접촉 구멍을 형성하기 위해 2단계 에칭 공정이 사용될 수 있으나, 0.7 마이크로미터 이상의 깊이나 3:1 이상의 애스펙트비를 가지는 접촉 구멍에 대해 2단계 에칭 공정을 사용하는 것이 선호된다.
2단계 에칭 공정에서, 화학 물질과 공정 패러미터는 제 1 에칭 단계로부터 제 2 에칭 단계까지 바뀌게 된다. 결과적으로, 앞서 언급한 한 개 이상의 공정 패러미터가 제 1 에칭 단계로부터 제 2 에칭 단계까지 에칭이 진행됨에 따라 변할 수 있다.
도 4는 본 발명에 따라 에칭 중 사용되는 여러 공정 패러미터와 그 대략적인 값을 나타낸다. 도 4에 도시되는 값은 8인치 실리콘 웨이퍼로 에칭을 실행할 때 얻어지는 값이다. 가령, 제 1 에칭 단계의 에칭 소스 기체 압력은 형태의 크기, 즉, 접촉 구멍 폭, 그리고 에칭되는 산화물의 특성, 즉 TEOS(테트라에틸 오소실리케이트), BPSG(보로포스포실리케이트 글래스) 산화물 등에 따라 좌우된다. 제 1 에칭 단계의 압력은 5mTorr에서 15mTorr까지의 범위를 가지는 것이 선호된다. 제 2 에칭 단계의 압력은 3mTorr 이하가 일반적이고, 1mTorr- 3mTorr 사이가 선호된다.
본 발명의 한 태양에서, CH2F2의 유동 속도가 제 1 에칭 단계로부터 제 2 에칭 단계까지 증가한다. 또는 CH2F2가 제 1 에칭 단계에서 없다가 제 2 에칭 단계를 촉진시키기 위해 플라즈마 공정 챔버에 삽입될 수도 있다. CH2F2기체의 유동 속도 증가는 산화물-질화물 및 기판의 선택도를 향상시킨다. 2단계 에칭 공정의 제 2 에칭 단계나 단일 에칭 단계 공정의 CH2F2유동 속도는 일반적으로 특징부 형태, 즉 게이트 위의 보호 질화물층의 코너의 둥근 정도, 보호 질화물층 및 산화물층의 두께, 그리고 접촉 구멍의 형태 및 크기에 따라 좌우된다. 제 2 에칭 단계에서, CH2F2의 유동 속도는 20 sccm에서 50 sccm 사이 범위가 선호된다.
발명의 한 태양에 따라, 2단계 에칭 공정의 제 1 에칭 단계는 보호 질화물층에 손상을 가하지 않으면서 가능한 산화물층 아래로 진행하는 것이 허용된다. 이는 상업적으로 유용한 에칭 속도, 균일성, 그리고 에칭 속도 로딩값을 얻으면서 스파이크 에치 가능성을 최소화하고자 제 1 에칭 단계가 최적화되기 때문이다.
한 실시예에서, 보호 질화물층 상부에 의해 형성되는 평면(가령, 도 1a의 질화물층(32, 34) 상부에 의해 형성되는 평면) 위 지정 위치에 도달할 때 제 1 에칭 단계가 종료된다. 제 1 에칭 단계가 종료되는 지정 위치는 이 질화물층 상부 평면과 이 질화물층 상부 평면 위 약 1000 옹스트롬 사이 범위에 놓이는 것이 선호된다. 보다 선호되는 위치는 이 질화물층 상부 평면과 이 질화물층 상부 평면 위 약 250 옹스트롬 사이의 범위다. 바로 위가 가장 선호되는 위치다.
2단계 에칭 공정에 의해 형성되는 접촉 구멍의 경우, 제 1 에칭 단계에서 사용될 경우 높은 산화물-질화물 선택도가 스파이크 에치를 유발할 수 있지만, 제 2 에칭 단계가 높은 산화물-질화물 선택도를 가진다는 사실이 자체 정렬 접촉 구멍의 질이 낮아질 것이라는 것을 의미하지는 않는다. 이는 낮은 산화물-질화물 선택도를 가지는 한세트의 공정 패러미터와 화학 물질로 자체 정렬 접촉 구멍이 먼저 에칭되고 보호 질화물층 바로 위의 산화물층으로 에칭이 깊숙이 진행될 때까지 높은 산화물-질화물 선택도의 화학 물질 및 패러미터로 에칭되지 않기 때문이다. 어떤 스파이크 에치가 이 높은 선택도 화학 물질 및 패러미터로 형성될 경우, 게이트간을 통해 접촉 구멍이 거의 에칭될 때까지 스파이크 에치가 시작될 기회를 가지지 못하고, 그러므로 에치 프로파일에의 효과가 최소일 것이다. 접촉 에칭 프로파일이 보다 수직이고 스파이크 에치 가능성을 감소시키면서 질화물 측벽에 달라붙은 비에칭 잔여 산화물을 가질 수 있는 기회를 개선시키는 경향을 본 발명의 한 태양이 가진다. 물론, 상대적으로 낮은 애스펙트비와 상대적으로 작은 깊이를 가지는 접촉 구멍의 경우에, 본 발명의 단일 단계 에칭 화학 물질과 패러미터는 앞서 언급한 스파이크를 형성할만큼 산화물층 내로 깊숙이 진행하지 않는다.
표 1은 도 4의 실험으로부터 얻어지는 대략적인 공정 결과를 도시한다. 표 1에 도시되는 바와 같이, 창의적인 에칭 공정은 상당히 바람직한 에칭 속도, 균일성, 그리고 산화물-질화물 선택도를 보여준다. 일반적으로 높은 산화물 에칭 균일성은 산화물-질화물 선택도를 대가로 실현된다는 것을 알아야 한다. 또한 그 역도 마찬가지다. 그러나 본 발명의 화학 물질과 공정 패러미터는 높은 산화물-질화물 선택도와 조합된 높은 산화물 에칭 균일성을 포함하는 에칭 특성을 제공할 수 있다.
표 1의 결과는 폴리실리콘 게이트 위에 놓이는 보호 질화물층의 손상이 최소가 됨을 보여준다. 접촉 구멍 내의 에칭 프로파일은 산화물 물질의 비균일 증착으로 인해 에칭 후 자주 남게되는 잔여 산화물로부터 상대적으로 자유롭다는 것이 관측되었다.
패러미터 공정결과
제 1 에칭 단계 제 2 에칭 단계 / 단일 에칭 단계
에칭 속도(옹스트롬/분) 10,000 8,000~10,000
균일성(1σ) 3% 3%
산화물-질화물 선택도 1~10:1 20~40:1
표 1
다른 치수를 가지는 기판과 웨이퍼를 에칭하기 위해 다른 플라즈마 에칭 시스템에서의 에칭에 가능한 적절하게 여기서 공개되는 값을 수정하는 것이 가능하다.
본 발명의 한 태양에 따라 도 5는 창의적인 2단계 자체 정렬 접촉 구멍에 관계된 단계를 도시한다. 단계 500에서, 기존 사전 에칭 단계에서 에칭을 위해 웨이퍼가 준비된다. 사전 에칭 단계는 가령, 웨이퍼 위에 포토레지스트 물질을 증착하고 패터닝하며, 척 위에 웨이퍼를 고정시키고, 플라즈마 공정 챔버 내의 압력을 안정시키고, 그리고 웨이퍼 및 척간의 열전달을 촉진시키기 위해 웨이퍼 후방에 헬륨 냉각 기체를 삽입하는 단계로 이루어진다.
제 1 에칭 단계 502에서, 도 1a의 산화물층(40)과같은 산화물층이 제 1 화학 물질(C2F6및 C2HF5)과 제 1 세트의 공정 패러미터로 에칭된다. 제 1 세트의 공정 패러미터는 스파이크 에치를 일으키지 않으면서 산화물층을 따른 에칭을 용이하게 한다. 제 1 에칭 단계 502는 이어지는 제 2 에칭 단계에서 사용되는 챔버 에칭 압력보다 높은 챔버 에칭 압력에서 실행되는 것이 선호된다. 높은 챔버 에칭 압력이 스파이크 에치 감소에 도움을 준다고 생각되기 때문이다. 앞서 언급한 바와 같이, 폴리실리콘부 위에 놓이는 보호 질화물층에 손상을 가하지 않으면서 가능한 깊이 산화물층 내로 제 1 에칭 단계가 진행된다.
제 2 에칭 단계 504에서, C4F8과 CH2F2를 포함하는 화학 물질과 제 2 세트의 공정 패러미터로 산화물 에칭이 이어진다. 자체 정렬 접촉 구멍이 아래의 기판까지 에칭될 때까지 이 제 2 에칭 단계가 진행된다. 이 제 2 에칭 단계의 높은 산화물-질화물 처방 및 화학 물질 사용은 보호 질화물층에 손상을 최소화하고, 접촉 구멍으로부터 산화물(질화물 측벽의 잔여 산화물) 제거를 보장한다. 이 방식으로, 제 1 에칭 단계는 스파이크 에치가 증가하는 등의 높은 선택도 처방과 연관된 결과로 걱정할 필요가 없다.
단계 504에서, 바람직한 조성을 제작하기 위한 추가적인 공정 단계와, 기존의 사후 에칭 공정 단계가 웨이퍼에 실행될 수 있다. 그 후, 최종 웨이퍼가 다이로 잘려지고, 다시 IC 칩으로 만들어진다. 최종 IC 칩(가령 도 3의 IC 칩(352))은 디지털 컴퓨터와 같은 전자 소자로 병합된다. 앞서 언급한 바와 같이, 단일 에칭 단계 공정이 도 5의 단계 504와 유사하다.
본 발명의 단일 단계 공정과 2단계 에칭 공정의 예가 아래에 설명된다.
예 1
단일 단계 에칭 공정은 산화물층에 약 0.45m의 폭과 0.6m의 총깊이를 가지는 접촉 구멍을 제작하기 위해 사용되었다. 20 sccm의 C4F8유동 속도와 약 30 sccm의 CH2F2유동 속도, 1600 와트의 하부 전극(RF 바이어스) 전력, 약 1300 와트의 상부 전극(TCP) 전력, 약 2밀리토르의 압력에서 약 60초동안 상기 에칭이 실시되었다.
산화물 에칭 속도는 약 0.95 미크론/분으로 측정되었고, 산화물-질화물 선택도는 40:1 이상이었다.
예 2
약 1.7m의 총깊이와 0.6m의 폭을 가지는 접촉 구멍을 제작하기 위해 2단계 에칭 공정이 사용되었다. 약 19 sccm의 C2F6유동 속도와 약 6 sccm의 C2HF5유동 속도, 약 1600 와트의 하부 전극(RF 바이어스) 전력과 상부 전극(TCP) 전력, 약 5 밀리토르의 압력 하에서 약 22초간 제 1 단계가 실행되었다. 15 sccm의 C4F8유동 속도와 약 15 sccm의 CH2F2유동 속도, 1800 와트의 하부 전극(RF 바이어스) 전력, 약 1300 와트의 상부 전극(TCP) 전력, 약 2밀리토르의 압력에서 약 82초동안 제 2 단계가 실시되었다.
제 1 에칭 단계의 경우, 산화물 에칭 속도는 약 1.1 미크론/분으로 측정되었다. 제 2 에칭 단계의 경우, 산화물 에칭 속도는 약 0.95 미크론/분으로 측정되었고, 산화물-질화물 선택도는 25:1 이상이었다.
본 발명이 선호되는 몇몇 예를 바탕으로 기술되었으나, 본 발명의 범위 내에서 여러 수정과 변경이 가능하다. 본 발명의 방법과 장치를 구현하는 데는 여러 가지 대안이 가능하다. 그러므로 첨부된 청구범위는 본 발명의 사상과 범위 내에서 모든 변경과 수정을 포함하여 해석되어야 할 것이다.

Claims (32)

  1. 플라즈마 공정 챔버에서, 자체 정렬 접촉 구멍을 생성하기 위해 웨이퍼층의 산화물층 선택부를 따라 에칭하는 방법으로서, 상기 웨이퍼 적응 구조는 기판, 기판 위의 폴리실리콘층, 상기 폴리실리콘층 위의 질화물층, 상기 질화물층 위의 산화물층으로 이루어지며, 상기 방법은:
    화학 물질과 한 세트의 공정 패러미터로 상기 적층 구조물의 상기 산화물층을 따라 에칭하는 단계를 포함하고, 이때 상기 화학 물질은 C4F8과 CH2F2로 이루어지며, 상기 세트의 공정 패러미터는 스파이크 에치를 생성하지 않으면서 상기 산화물층을 따르는 에칭을 용이하게 하고, 상기 질화물층에 손상을 가하지 않으면서 상기 기판까지의 상기 산화물 에칭을 용이하게 하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 자체 정렬 접촉 구멍은 약 1:1 과 4:1 사이의 애스펙트비를 가지는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 자체 정렬 접촉 구멍은 약 1미크론 이하의 깊이를 가지는 것을 특징으로 하는 방법.
  4. 제 3 항에 있어서, 상기 자체 정렬 접촉 구멍은 약 0.7 미크론 이하의 깊이를 가지는 것을 특징으로 하는 방법.
  5. 제 3 항에 있어서, 상기 질화물층의 상부면은 기판위 최대 5000 옹스트롬에 배치되는 것을 특징으로 하는 방법.
  6. 제 5 항에 있어서, 상기 질화물층의 상부면은 기판 위 최대 2500 옹스트롬에 배치되는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, 상기 공정 플라즈마 챔버는 변압기 연결 플라즈마 에칭 시스템을 나타내고, 상기 챔버는 상부 전극의 유도 연결 작용에 의해 플라즈마와 충돌하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 상기 방법은 상부 전극을 따라 냉매를 순환시킴으로서 상부 전극의 온도를 제어하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서, 양극화된 알미늄으로 플라즈마 공정 챔버 내부가 만들어지는 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서, 기체 분배판은 실리콘 나이트라이드로 만들어지는 것을 특징으로 하는 방법.
  11. 제 1 항에 있어서, 제 1 화학 물질은 CF4, C2F6, 그리고 아르곤 중 한 개 이상을 포함하는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 실리콘 카바이드와 실리콘 나이트라이드 중 하나로 만들어지는 초점 링를 포함하는 하부 전극 위에 웨이퍼가 고정되는 것을 특징으로 하는 방법.
  13. 제 12 항에 있어서, 정전 클램프를 이용하여 하부 전극 위에 기판이 고정되는 것을 특징으로 하는 방법.
  14. 제 1 항에 있어서, 상기 플라즈마 공정 챔버는 변압기 연결 플라즈마 에칭 시스템을 나타내고, 상기 챔버는 상부 전극의 유도 연결 작용에 의해 플라즈마와 충돌하며, 상기 기판은 하부 전극 위에 고정되고, 상기 상부 전극과 하부 전극간 간격은 10 cm 이하인 것을 특징으로 하는 방법.
  15. 제 1 항에 있어서, 2000 리터/초의 용량을 가지는 터보분자 펌프를 이용하여 플라즈마 챔버 내부 압력을 유지하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, 약 1300 리터/초의 용량을 가지는 터보분자 펌프를 이용하여 플라즈마 챔버 내부 압력을 유지하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  17. 플라즈마 공정 챔버에서, 자체 정렬 접촉 구멍을 생성하기 위해 웨이퍼 적층 구조물의 산화물층 선택부를 따라 에칭하는 방법으로서, 상기 웨이퍼 적층 구조물은 기판, 상기 기판 위의 폴리실리콘층, 상기 폴리실리콘층 위의 질화물층, 상기 질화물층 위의 산화물층으로 이루어지며,
    상기 방법은 제 1 에칭 단계와 제 2 에칭 단계로 이루어지고,
    상기 제 1 에칭 단계는 제 1 화학 물질과 제 1 세트의 공정 패러미터로 상기 적층물의 상기 산화물층을 따라 부분적으로 에칭을 실시하고, 이때 상기 제 1 화학 물질은 C2F6와 C2HF5로 이루어지며, 상기 제 1 세트의 공정 패러미터는 스파이크 에치를 생성하지 않으면서 상기 산화물층을 따라 에칭하는 한 세트의 패러미터를 나타내며, 그리고
    상기 제 2 에칭 단계는 C4F8과 CH2F2로 이루어지는 제 2 화학 물질과 제 2 세트의 공정 패러미터로 상기 기판까지 상기 산화물층을 에칭하고, 상기 제 2 세트의 공정 패러미터는 상기 제 1 세트의 공정 패러미터와 다르며, 상기 질화물층에 손상을 가하지 않으면서 상기 기판까지 상기 산화물층을 에칭하는 한 세트의 패러미터를 나타내는 것을 특징으로 하는 방법.
  18. 제 17 항에 있어서, 상기 제 2 화학 물질은 CF4, C2F6, 그리고 아르곤 중 한 개 이상을 포함하는 것을 특징으로 하는 방법.
  19. 제 17 항에 있어서, 상기 플라즈마 공정 챔버는 상부 전극을 이용하여 플라즈마와 충돌하고, 상부 전극의 온도는 상부 전극을 따라 냉매를 순환시킴으로서 제어되는 것을 특징으로 하는 방법.
  20. 제 17 항에 있어서, 상기 제 1 세트의 공정 패러미터는 상기 제 2 공정 패러미터를 이용하여 상기 제 2 에칭 단계에서 얻어지는 산화물-질화물 선택도보다 상기 제 1 에칭 단계에서 더 낮은 산화물-질화물 선택도를 보이는 것을 특징으로 하는 방법.
  21. 제 17 항에 있어서, 상기 제 1 에칭 단계는 지정 위치에 도달할 때 종료되고, 상기 지정 위치는 상기 질화물층의 중간점과 같은 위치에서 상기 질화물층의 상부면에 의해 지정되는 평면 위 약 1000 옹스트롬 범위 사이인 것을 특징으로 하는 방법.
  22. 제 21 항에 있어서, 상기 제 1 에칭 단계는 지정 위치에 도달할 때 종료되고, 상기 지정 위치는 상기 질화물층 중간점과 같은 위치에서 상기 질화물층 상부면에 의해 지정되는 평면 위 250 옹스트롬 범위 사이인 것을 특징으로 하는 방법.
  23. 제 22 항에 있어서, 상기 제 1 에칭 단계는 상기 질화물층 상부면에 의해 지정되는 평면에 도달할 대 종료되는 것을 특징으로 하는 방법.
  24. 제 17 항에 있어서, 상기 제 1 세트의 공정 패러미터는 제 1 챔버 압력으로 이루어지고, 상기 제 2 세트의 공정 패러미터는 제 2 챔버 압력으로 이루어지며, 상기 제 1 챔버 압력이 상기 제 2 챔버 압력보다 큰 것을 특징으로 하는 방법.
  25. 제 17 항에 있어서, 상기 플라즈마 공정 챔버는 고밀도 플라즈마 에칭 시스템의 플라즈마 공정 챔버를 나타내는 것을 특징으로 하는 방법.
  26. 제 25 항에 있어서, 상기 고밀도 플라즈마 에칭 시스템은 변압기 연결 플라즈마 에칭 시스템을 나타내는 것을 특징으로 하는 방법.
  27. 제 17 항에 있어서, 상기 자체 정렬 접촉 구멍은 3:1 이상의 애스펙트비를 가지는 것을 특징으로 하는 방법.
  28. 제 17 항에 있어서, 상기 자체 정렬 접촉 구멍이 약 0.7 미크론 이상의 깊이를 가지는 것을 특징으로 하는 방법.
  29. 제 28 항에 있어서, 상기 질화물층은 기판 위 최대 5000 옹스트롬에 위치하는 것을 특징으로 하는 방법.
  30. 제 17 항에 있어서, 플라즈마 공정 챔버는 변압기 연결 플라즈마 시스템을 나타내고, 상기 챔버는 상부 전극의 유도 연결 작용에 의해 플라즈마와 충돌하며, 상기 기판은 하부 전극 위에 고정되고, 상기 상부 전극과 하부 전극간의 간격이 10cm 이하인 것을 특징으로 하는 방법.
  31. 제 17 항에 있어서, 2000 리터/초의 용량을 가지는 터보분자 펌프를 이용하여 플라즈마 챔버 내부 압력을 유지하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  32. 제 17 항에 있어서, 1300 리터/초의 용량을 가지는 터보분자 펌프를 이용하여 플라즈마 챔버 내부 압력을 유지하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
KR1020007010472A 1998-03-30 1999-03-11 반도체 소자용 자체 정렬 접촉부 KR20010042105A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9/052,276 1998-03-30
US09/052,276 US6133153A (en) 1998-03-30 1998-03-30 Self-aligned contacts for semiconductor device
PCT/US1999/005264 WO1999054925A1 (en) 1998-03-30 1999-03-11 Self-aligned contacts for semiconductor device

Publications (1)

Publication Number Publication Date
KR20010042105A true KR20010042105A (ko) 2001-05-25

Family

ID=21976539

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007010472A KR20010042105A (ko) 1998-03-30 1999-03-11 반도체 소자용 자체 정렬 접촉부

Country Status (6)

Country Link
US (1) US6133153A (ko)
EP (1) EP1070344A1 (ko)
JP (1) JP2004500696A (ko)
KR (1) KR20010042105A (ko)
TW (1) TW400571B (ko)
WO (1) WO1999054925A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3987637B2 (ja) * 1998-05-22 2007-10-10 東京エレクトロン株式会社 エッチング方法
US6228279B1 (en) * 1998-09-17 2001-05-08 International Business Machines Corporation High-density plasma, organic anti-reflective coating etch system compatible with sensitive photoresist materials
US6372634B1 (en) * 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
US7931820B2 (en) * 2000-09-07 2011-04-26 Daikin Industries, Ltd. Dry etching gas and method for dry etching
US6642154B2 (en) * 2001-07-05 2003-11-04 The Regents Of The University Of California Method and apparatus for fabricating structures using chemically selective endpoint detection
US6475906B1 (en) 2001-07-05 2002-11-05 Promos Technologies, Inc. Gate contact etch sequence and plasma doping method for sub-150 NM DT-based DRAM devices
US7456097B1 (en) * 2004-11-30 2008-11-25 National Semiconductor Corporation System and method for faceting via top corners to improve metal fill
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1168762A (en) * 1981-06-22 1984-06-05 Osamu Michikami Method of fabrication for josephson tunnel junction
JP3033104B2 (ja) * 1989-11-17 2000-04-17 ソニー株式会社 エッチング方法
US4987099A (en) * 1989-12-29 1991-01-22 North American Philips Corp. Method for selectively filling contacts or vias or various depths with CVD tungsten
US4980304A (en) * 1990-02-20 1990-12-25 At&T Bell Laboratories Process for fabricating a bipolar transistor with a self-aligned contact
DE69123808T2 (de) * 1990-09-26 1997-06-26 Hitachi Ltd Verfahren und Gerät zur Bearbeitung mittels Mikrowellenplasma
JPH0590221A (ja) * 1991-02-20 1993-04-09 Canon Inc 珪素化合物膜のエツチング方法及び該方法を利用した物品の形成方法
US5286667A (en) * 1992-08-11 1994-02-15 Taiwan Semiconductor Manufacturing Company Modified and robust self-aligning contact process
JP3252518B2 (ja) * 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
JP3623256B2 (ja) * 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
EP0777267A1 (en) * 1995-11-28 1997-06-04 Applied Materials, Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5783496A (en) * 1996-03-29 1998-07-21 Lam Research Corporation Methods and apparatus for etching self-aligned contacts

Also Published As

Publication number Publication date
JP2004500696A (ja) 2004-01-08
EP1070344A1 (en) 2001-01-24
WO1999054925A1 (en) 1999-10-28
TW400571B (en) 2000-08-01
US6133153A (en) 2000-10-17

Similar Documents

Publication Publication Date Title
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5783496A (en) Methods and apparatus for etching self-aligned contacts
US7470628B2 (en) Etching methods
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
KR100595866B1 (ko) 반도체 소자용 자체 정렬 컨택트
WO1999010923A1 (en) Method for selective plasma etch
WO1999033097A1 (en) Improved techniques for etching an oxide layer
WO1999016110A2 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
KR20030087637A (ko) 유기계 절연막의 에칭 방법 및 이중 상감 방법
JP2003023000A (ja) 半導体装置の製造方法
KR100782632B1 (ko) 절연막의 에칭 방법
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
WO1999021218A1 (en) Self-aligned contact etch using difluoromethane and trifluoromethane
US6329292B1 (en) Integrated self aligned contact etch
KR20010042105A (ko) 반도체 소자용 자체 정렬 접촉부
CN102136420A (zh) 等离子体处理系统中的选择性控制
US6008132A (en) Dry etching suppressing formation of notch
KR100702729B1 (ko) 유도-연결된 플라즈마 공정 시스템에서 고애스펙트비의미세 접점 에칭 공정
JP4577328B2 (ja) 半導体装置の製造方法
JPH10189727A (ja) 半導体装置の製造方法
CN1954424A (zh) 等离子体处理系统中的选择性控制
JP2021028968A (ja) 基板および基板処理方法
US5904862A (en) Methods for etching borophosphosilicate glass

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid