TW385533B - In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization - Google Patents

In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization Download PDF

Info

Publication number
TW385533B
TW385533B TW086119920A TW86119920A TW385533B TW 385533 B TW385533 B TW 385533B TW 086119920 A TW086119920 A TW 086119920A TW 86119920 A TW86119920 A TW 86119920A TW 385533 B TW385533 B TW 385533B
Authority
TW
Taiwan
Prior art keywords
metal
layer
plug
aluminum
barrier
Prior art date
Application number
TW086119920A
Other languages
English (en)
Inventor
Ted Guo
Liang-Yuh Chen
Suchitra Subrahmanyan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW385533B publication Critical patent/TW385533B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

發明説明(1 ) 發明背景 發明領域 本發明係關於一種製造積體電路之金屬化方法。特別 本發明係關於於絕緣層選擇性金屬化互連層而介於導電异 間形成無空隙的插塞、,包含孔隙例如於高縱橫比次半微米 用途之接點或貫穿孔β 相關技術背景. 次半微米多階金屬化乃下一代及大型積體電路(VLSI) 的關鍵技術。位在此種技術核心的多階互連需要於高瑕橫 比孔隙包含接;點、貫穿孔、壕溝及其他%路形成互連紋路 平面化。可靠形成互連紋路對VLSI的成功以及繼續努力 提高電路密度及各別基材及模塊品質極為重要。 隨著電路密度的增高,貫穿孔、接點及其他紋路以及 其間的介電材料寬度必須縮小。因此持續大量努力針對形 .成越來越小的急空趣路。其中―種方法包括如提供於基 材表面上僅於暴露凝核面上選擇性化學蒸氣沉積CVD材 料。選擇性CVD包括當前驅氣體接觸基材上的,,凝核位置” 時沉積薄膜層。前驅氣體於凝核位置分解(反應),沉積金 屬層’於其上方進—步沉積,形成多種由腔室排放的氣態 副_產物。 選擇性⑽呂沉積係基於下述事實:⑽鋁前驅氣 體沉積通常需要來自導電凝核膜的電子來源。根據習知選 擇性CV_沉積方法1必須生長於下方導電層如金屬 膜表面上金屬膜攙雜⑦或金屬々化物且暴露於孔隙底部
五、發明說明(2) 最後’凊參照第1D圖,利用回姓刻法或化學機械研磨 法去除多餘的複晶矽層丨60,直至絕緣層14〇為止,於自動 對準接觸開口 150内形成一插栓180,可作為電容器卞層 電極,或者位元線。 之下 此外’由於習知動態隨機存取記憶體之邏輯震置與記 憶裝置係分別形成於不同的晶片上,然後再設置於同一板 上’然由於形成於不同晶片的記憶裝置與邏輯裝置的構造 無法確保其高速性,因此有一種將記憶元件與邏輯元件混 合設置於同一晶片上的記憶體便被提出,亦即所謂的喪入 式記憶體,例如嵌入式動態隨機存取記憶體(embedded Random Access Memory)。第2A圖〜2D圖所顯示的即是利 用第1A〜1D圖所述之插栓製程,以製備嵌入式動態隨機存 取記憶體之部分製程。 首先’請參照第2A圖,提供一半導體基底200,其可 區分為預備形成記憶元件之細胞區以及含邏輯元件之週邊 電路區《其中’細胞區並形成有由閘極2丨〇、22 〇以及絕緣 側壁子225 ’和源極/汲極擴散區230 a、230b、2 30c所構成 之電晶體;週邊電路區則形成有擴散區23〇d,以及隔離用 的淺溝渠隔離區(shallow trench isolation)240。 其次,請參照第2 B圖,先形成一絕緣層14 0於第2 A圖 所示之細胞區以及週邊電路區之基底2〇〇表面,然後再以 微影程序及蝕刻技術在細胞區及週邊電路區預定的位置分 別定義出一自動對準接觸開口 255以及260。 接著,請參照第2C圖,於溫度約為540〜600 °C的火爐
A7 1 - ~~^r~ ------ !五、辞明説明〆3 ) 等替性對於支援較高電流密度例如高階積體之較高電流密 度相當重要。但銅金屬整合入多階金屬化過程之主要問題 為難以使用蝕刻技術對金屬施加圖樣。至於次微米最小紋 路尺寸裝置,由於液體表面張力,各向同性蝕刻特性及過 度姓刻控制困難,銅紋路之濕蝕刻技術尚未為人接受。此 外,未有可靠的選擇性CVD銅方法。 已知鋁之電遷移性能可藉介於錦紋路間形成障壁層或 盍層而大為改善 '但於選擇性CVD鋁插塞形成後於介電 場上出現CVD鋁結節可妨礙.光滑均勻而連續之蓋層直接 >儿積。曹碎頌使用化學機械拋光來於沉積蓋層之前去除 選擇性CVD鋁結節:此種昂貴複雜的步驟包括若干額外 ッ驟,包含處理真空破壞,引起不必要的基材面污染。 因此需要一種形成選擇性CVD鋁貫穿孔/接點插塞及 具有光滑連續而均勻蓋層介於其間的PVD鋁互連之簡單方 法。特別需要一種可提供具有改良電遷移性能之整合一體 插塞/互連金屬化方法。若此種方法無須化學機械拋光 則合所需。若此種方法簡單可全然於整合一體的成組工具 内部進行也合所需。若該方法可提供低温沉積進行次四分 之一微米鋁插塞填充甚至更合所需。 發明概述 本發明提供一種於貫穿介電層的貫穿孔内形成加蓋金 屬插塞之方法’其中該貫穿孔具有暴露出沉積增進材料的 底面,該方法包括下列步驟:(劝於貫穿孔底面之沉積增 進材料上選擇性化學蒸氣沉積金屬如CVD鋁而於貫穿孔 本紙乐尺度適用中國國家標準(CNS ) A4規格(210 X 29?公楚)~ (請先閱讀背面之注意事項再填寫本頁)
C 裝. 打 ,il 線—r. '1五、發明説明(4 Α7 Β7 經濟部中央標準局員工消費合作社印製 形成插塞;(b)物理蒸氣沉積足量金屬如PVD魅或PVD銅/ 銘於介電層上而大體平面化插塞形成過程_由於選擇性損_ 失形成的任何結節;及(c)沉積一層障壁層於物理蒸氣沉 積金屬上方。較佳PVD金屬係於約35(TC至約50(rc,最佳 約400°C之溫度沉積。此外較佺障壁層包括第一次層鈦, 第二次層氮化鈦及第三次層鈦。然後第二金屬層如pvD鋁 沉積於障壁層上。該方法較佳於包括化學蒸氣沉積腔室及 物理蒸氣沉積腔室之整合一體處理系統進行俾經由避免氧 化物成形層或暴露於周圍氣氛而改良介面。 本發明之另一態樣甲,提供一種方法於插塞各端具有 障壁層的介電層形成貫穿該介電層之金屬貫穿孔插塞。該 方法包括下列步驟⑷沉積金屬疊層(例如Ti/TiN/Al, Ή/ΉΝ/Al/TiN或Ti/TiN/W)於工作件頂層上;(13)於金屬疊 層上形成一層介電層;(c)蝕刻貫穿介電層之貫穿孔而形 成暴露金屬疊層的底面;(d)選擇性化學蒸氣沉積導電材 料於金屬疊層之暴露部分上而於貫穿孔内%成插塞;⑷ 物理蒸氣沉積足量金屬於介電層上而大體平面化於插塞形 成過程中由於選擇性損失而形成的任何結節;及⑺沉積 一層障體蓋層於物理蒸氣沉積金屬上方。該方法也包含沉 積,二金屬層如PVD銘於障體蓋層上方。然後經由钱刻金 屬I層而形成介於各金屬化層間具有障壁層的多階積體電 路然後重覆始於(b)之各步驟。 之簡單説明 ’照附圖舉例說明之具體例將可瞭解前文簡單說明之 ,----- ί,--^—— (請先閲讀背面之注意事項再填寫本頁) 訂 -線彳· 本紙張尺度適用中 (210x297公釐) -7-
經濟部中央標準局員H消費合作社印製 五、發明説明(5 本發明之特點、優點及目的之細節及特定說明。 、但須注意_僅舉例說明本發明之典型具體例因此不 可視為限制其範圍,本發明許可其他同等有效的具體例。 第1圖為積體電路結構之剖面圖,該結構具有於貫穿 孔内經由選擇性CVD銘形成的金屬插塞及於介電層形成 的結節; 第2圖為於基材上形成金屬化結構之到面圖; 第3圖為第2圖結構體於沉積介電層後之剖面圖; 第4圖為第3圖結構體於蝕刻介電層而形成暴露出下方 障壁層的貫穿孔或壕溝之剖面圖; 第5圖為第4圖結構體於下方障壁層選擇性形成cvD 铭插塞而填滿貫穿孔後之剖面圖; 第6圖為第5圖積體電路結構於藉施加薄溫熱pVD銅/ 鋁層而平面化結節後之剖面圖; 第7圖為第6圖結構體於施加障壁層後之剖面圖; 第8圖為第7圖結構體於施加標準PVD鋁層後之剖面圖 f 第9圖為進行化學蒸氣沉積(CVD)及物理蒸氣沉積 (PVD)之整合一體成組工具之平面圖。第1〇圖為供應氣體至第9圖成組工具上的CVE)腔室之 氣體箱系統之示意圖。 致隹具體例之詳細說明 本發明之一個態樣中,提供一種形成加蓋鋁插塞 (CAP)之方法,該插塞於選擇性化學蒸氣沉積(cvd)金屬 ----f I,i—Ί^^ — (請先聞讀背面之注意事項再填寫本頁) 訂 I i -- < © I ·Ι£Γ... · · - -..-lu-ll-iuFEUElla i-al 本紙張尺度ϋ财關家標準(CNS ) Α4Μ· ( 210X297公楚) 五、發明説明(6 A7 B7 插塞上方具有—層光滑連續而均勻的障體蓋層。特別本發 明施加溫熱物理蒸氣沉積(PVD)層於其上方形成有金屬結 節的介電場上,該結節典型係由於選擇性實施形成,俾於 >儿積障體蓋層前吸收或平面化結節。然後形成光滑連續而 均勻的障體蓋層如依次沉積Ti/TiN/Ti,接著沉積金屬互 連層如PVD鋁(A1)。因此本發明提供下列優點:(1)無空隙 次半微米選擇性CVD金屬貫穿孔插塞,(2)於金屬插塞上 方形成.光滑均勻的障體蓋層俾改良毗鄰插塞/互連金屬化 之電遷移電阻’ (3)具有較少步驟之較簡單方法,(4)整合 一體之低溫填充過程其可配合用於低介電常數有機材料, (5)免除化學機械拋光(C]M|P),及(6)於插塞頂面上形成改 良紋路(亦即晶體取向) 提供一種於多階上介於金屬紋 本發明之另一態樣中 (請先閲讀背面之注意事項再填寫本頁) d 經濟部中央標準局員工消費合作杜印製 路間形成光滑連續且均勻&障壁層之方法俾提高積體電路 之電遷移電阻。該方法包含於形成其上方形成有障壁層的 另一層介電層或金屬化層之後或之前,如前述形成cXp結 構。此等步驟可重覆而介於各層金屬紋路間形成具有障壁 層之多階積體電路,特別其中金屬紋路為鋁。. 參考第2至8圖,結構體20之剖面圖顯示本發明之範例 積體電路製法各步驟而介於多層間提供障壁層。如第$至8 圖所示,本發明之一種態樣包含本發明之CAp方法。須瞭 解參照第2-8圖所述之某些步驟可組合或使用預製結構體 提供而仍然屬於本發明之範圍。 參照第2圖,結構體20為金屬化疊層,表示於基材21 Μ氏張尺度適用中國國家禚準(CNS ) A4規^
"衣 I 訂一I
IO ^ ---------一--------- -9- 經濟部中央標準局員工消費合作社印製 A 7 —--------------_B7_ , 五、發明説明(7 ) ~ 上形成積體電路紋路的頂層。金屬化疊層可呈多種構型, 但此處顯示較佳構型包含鈦(Ti)層22於基材21上,銘/銅 (AlCu)層23於鈦層22上,及氮化鈦(TiN)層24於鋁/銅層23 上。此種疊層可藉任何習知技術包含化學及物理蒸氣沉 形成。 |壁層24形成於鋁/銅層23上以防鋁/銅層23與障壁層 上方形成的丨紋路交作用,因此作為隨後根據本發明沉積 之凝核層。障壁層包括任何適當凝核或沉積增進的材料包 含導電金屬,其可提高與其接觸紋路的電遷移電阻。障壁 層較佳包括鈦(Ti)、氮化鈦(TiN)或其組合,較佳厚度為約 100至約500埃·。最佳障壁層係由總厚度約4〇〇埃之三層連· 續Ti/TiN形成。 參照第3圖,介電層26根據業界已知程序於障壁層24 上方形成而構成整體積體電路的一部分。任一種可钱刻介 電材料無論為目前已知或尚未被發現皆可用於本發明之範 圍。 & 參照第4圖,第3圖結構體2〇之剖面圖顯示於介電層% 形成貫穿孔28後暴露出部分下方障壁層。任何蝕刻方法例 如電漿蝕刻皆可用於本發明之範圍形成貫穿孔。蝕刻介電 材料如二氧化矽及若干有機材料之特定技術包含緩衝氫氟 酸及丙酮或EKC等化合物。但最佳蝕刻方法為.可於介電層 26形成次半微米或甚至次四分之一微米孔隙及貫穿孔μ二 時保有下方障壁層24的完整性。 如此形成的貫穿孔28通常預期辅助其中導電插塞沉積 (匚泌)八4規格(210\297公釐) ml· 1 In ---- - Hi-· - - N 1- ^ - I c ‘ (請先閲讀背面之注意事項再填寫本頁) 訂--- -10- A7 B7 五、發明説明( ,其將提供於金屬化疊層之下方導電件22、23、24之電連 接。貫穿孔28提供非導電介電_壁及底面暴露屋壁層24之沉 積增進材料之至少一部„金.。較佳使用前金屬化處理來清潔 貫穿孔或接點隨後進行CVD金屬化。 丨/選擇性CVD鋁製程係基於於導電面上沉積金屬前驅 物。例如CVD鋁膜可經由氫化二甲基鋁(’’DMAH”)與載氣 如氫氣(H2)或氬氣(Ar)分解反應形成。當DMAH接觸沉積 增進材料其為電子給予者例如導電材料表面時遠更快速進 行此種反應。因此可達成至少某種程度的控制或選擇性控 制CVD鋁之沉積位置及如何沉積,該方法係經由準備一 產體其具有某些導電性表面(障#展.24暴露於貫穿,孔 底面上)及某些非導電性表面(貫穿孔28壁)。 參照第5圖,第4圖結構體20之剖·面圖顯示於下方障 層24上方形成選擇性CVD鋁插塞30後之情況。選擇性C^D 鋁提供無空隙單晶插塞30之晶膜增長。但雖然CVD鋁具 有相對選擇性,但小量的CVD鋁也可沉積於非導電介電 層26上存在之表面瑕疵處而於其上方形成金屬結節32。 經濟部中央標準局員工消費合作社印製 雖然CVD鋁可於多種條件卞沉積,但典型方法包括基 材溫度約120°C至約280°C,沉積速率約20埃/秒至約2000 埃/秒,較佳約1500埃/秒。CVD鋁之沉積可於腔室壓力約 1托耳至約80托耳進行,較佳腔室壓力為約25托耳。CVD 鋁之較佳沉積反應包括根據下列方程式之一沉積氫化二曱 基鋁(“DMAH”): 6(CH3)2A1-H+3H2……—6A1+12CH4 -11 - (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 或 (CH3)2A1-H.....— A1+2TMA(三曱基鋁)+h2 於貝穿孔28沉積而开少成金屬插塞3〇具有選擇性,原因 為僅有.下方導電層24之暴露面可促進分解反應而沉積 CYD鋁。因此CVD鋁由底面沉積而填滿貫穿孔28而大體 並热CVD鋁沉積於貫穿孔壁上。 此外,貫穿孔28包括大體非導電介電壁及導電赛面。 i述大體非導電材料如貫.穿孔如介,電壁並非良好電子 給予者,因此無法對CVD金屬前驅物的γ分解提供良好凝 梭作用。反而CVD金屬膜開始於貫穿孔底面之暴露導電 面上形成。於第一層金屬沉積於貫穿孔底面後,隨後之沉 積車父容易進行,故金屬由貫穿孔底面向上或向外增長而填 滿貫穿孔。 、 經濟部中央標準局員工消脅合作社印製 雖然貝穿孔介電壁上的瑕疵造成於孔隙内部形成散在 吃崔篇—’但此等結節典型不會阻塞孔隙位於孔隙内部引起 空隙,原因為結節形成速率比較選擇性增長遠更缓慢故。 貝牙孔由底面向上填滿金屬,隨後結節有機會橫跨貫穿孔 樗長並於其中形成空隙,即使貫穿孔具有縱橫比高達5 : i 亦如此,原因為導電性貫穿孔底面暴露的表面積比較典型 瑕疵遠更大故。 參照第6圖,顯示於經由施加薄的pvD鋁或pVD鋁/銅 層34而平面化結節32後(參考第6圖)之第5圖結播壟2〇之剖 面圖。金屬足量且於充分溫度沉積於介電層26上而大體平 面化經由插塞3 0形成過程中由於選擇性損失形成的任何結 匸呢)厶4規格(2丨〇\297公釐) -12- B7. ' 五、發明説明(10 ) 節。PVD金屬層34於約350°C至約500°c,較佳約400°c溫 度沉積至厚約100埃至約1200埃。此種PVD步驟經由吸收 或平面化結節而使CVD鋁結節變光滑而形成均勻的薄鋁 膜34。 參照第7圖,顯示於P VD銘或铭/銅3 4上方施加障壁層 36後,第6圖結構體20之剖面圖。於第8圖之疊層22、23、 24及介電層26上方形成的結構體30、34、36於此處稱作加 蓋鋁插塞(CAP)結構,原因為障壁層將鋁插塞加蓋。障壁 層36較佳為選自鈦(Ti)、氮化鈦(TiN)、鈕(Ta)、氮化鈕(TaN) 及其組合之PVD層。較佳障體蓋層36係由三層連續層 / Ti/TiN/Ti形成。障壁層用來限制鋁插塞及隨後沉積的鋁 / 互連層或其他紋路間的交互作用。因此障壁層36改良鋁插 塞30之電遷移電阻及可靠度。雖然障壁層36可具有本發明 範圍内之任一種厚度,但Ti/TiN/Ti層之較佳厚度為約300 埃至約500埃,最佳厚度為約400埃。障壁層之TiN部分可 藉CVD或PVD方法形成,但以PVD TiN為佳。此外障壁層 之鈦部分較佳藉PVD鈦形成。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 參照第8圖,顯示於施加標準PVD鋁或鋁/銅層38而提 供互連化後之第7圖結構體20之剖面圖。PVD鋁或鋁/銅互 連層於第7圖CAP結構上方形成而於插塞及互連層提供具 有改良電遷移性能的整合一體插塞/互連層金屬化。須瞭 解屬於本發明之範圍内可於P V D鋁層上方形成額外處理或 額外過程包含任何障壁層。事實上需瞭解整個過程可重覆 任何次數。 本纸張尺度適用中國國家標準(CNS ) A4说格(210X 297公釐) -13 - 五、發明説明(11 經濟部中央標準局員工消費合作社印製
A7 B7 本發明之另一 ‘%樣中,插塞或互連可於障壁層或CAp 結構上以提供咼度晶體取向及場上較佳反射率改良(第一 P白,PRIME)之方式形成。插塞或互連之晶體取向可經由 於障壁層表面上沉積超薄或^自行對正材料層隨後沉積介 電層及金屬插塞;或沉積超薄或ε自行對正材料層於介電 場上隨後藉PVD或CVD技術沉積互連而改良。 沉積於障壁層36上的ε材料典型包括鈦,.氮化鈦或其 組合。於e層上形成的導電插塞或互連之反射率可經由於 其他層上形成面對面互連而改良。ε層可由單種材料或由 選自鈦,氮化鈦,鋁,鈮,鋁及鈕之多種材料組合形成。 於沉積導電膜之前沉積小量材料可經由辅助高度取向 晶體結構於導電膜内部增長而改良膜之反射率。薄的自行 對正e層如鈦層較佳厚度約50埃,較佳使用pvD技術沉積 於場上而提供隨後可沉積導電金屬插塞或互連的表面。薄 的自行對正ε氮化鈦層較佳係於富含鈕之氣氛下濺鍍耐熱 金屬目標提供氮化鈦容量,其中部分沉積於基材上。沉積 於ε層上的導電層具有反射率於436微米使用矽基線測量 比較不含ε層直接沉積於障壁層上的導電層大约3〇%。 薄的自行對正ε層可增進晶體取向及於所得插塞或互 連提供大晶體結構。除單一鈦/氮化鈦凝核層外,發明人 又發現Ti/TiN,Ti/Al層或其任一種組合可於基材上沉積 作為ε層而增進大晶體的生成及沉積鋁膜之<111:>晶體取 向。改良所得膜乏晶體結構可增進電氣性質及減少鋁膜内 部應力。相信取向可經由將PVE)鋁e層沉積於£鈦或氮化 本纸張尺度適用中國國家標準(⑽)A4規格(21GX297公着) — -14 - (請先閎讀背面之注意事項再填寫本頁)
五、 發明説明(12 A7 B7 經濟部中央標準局員工消費合作社印製 鈦層上使氮化鈦或鈦料會化學結合至腔室㈣其他反應 性物種例如碳而改良。 '薄的自行對正ε膜包括單—原子層或單種材料單層, 或包括多原子層或依序沉積之多種㈣單層。最佳自行對 正材料純’㈣概略均觀尺寸分散於所需表面上 俾增進其上方薄膜之生成。自行對正凝核材料典型為導電 材料如金屬’其可提供電子而輔助沉積導電膜層(例如金 屬C VD 4驅物)之反錢結晶化。較佳凝核層包括導電材 料如鈦(Ti),紹⑽,氮化鈦(TiN),銅(Cu)及邦〇。 此外較佳本發明之沉積步驟係於整合一體之成組工具 包含CVD及PVD處理腔室進行。參照第9圖,顯示範例 合一體成組工具40之示意圖。典型基材係經由匡式載荷 =引進成組工具40或由其中取出。具有輪葉47的機器人料 6又置於成組工具内部而移動基材45通過成組工具。機器 4=典型設置於緩衝腔室46來介於g式載荷㈣,除氣晶四 疋向腔至50,預4淨至51,pvd氮化鈦arc腔室52及冷卻 至54間移動基材。第二機器人48設置於移轉腔室内而介於 冷卻腔至54,内聚鈥腔室55 , CVD氮化鈦腔室56,CVD 鋁腔室57及PVD鋁/銅處理腔室58間移轉基材。整合一 系統中之移轉腔室60較佳維持約1〇.6至約1〇·9托耳之真— 第9圖腔至構造包括可於單一成組工具進行cVD方法及 PVD方法的整合處理系統。特定腔室構造僅供舉例說明而 非視為限制本發明之用途。 板據本發明,基材由於先前其他腔室、成組工具或系 整 人圓 體空 j— 11— h n /i— m n - -- J1 m iQ襞 (請先閱讀背面之注意事項再填寫本頁) 訂 0線_ -15 - 五、 發明説明(13 A7 B7 經濟部中央橾準局員工消費合作社印製 統處理結果可於其表面上接受会屬 a 工赉又金屬化豐層。典型於矽晶圓 上方形成的金屬化叠層句冬斜场· ^ 瓚包3鈦層,鋁/銅層及薄氮化鈦層 。此外疊層可以經接受/第―階間介電層其使用業界已知程 序施加圖樣及㈣而形成貫穿孔。,然後具有第-金屬化疊 層其貫穿孔㈣至介電相部的基材進—步處理,較佳於 .成組工具4 0處理而於前硫當空3丨咖如 义月逃貝穿孔内部形成加蓋鋁插塞 (CAP)。 於成組工具40進行之較佳方法,,CAp之形成方式係 將基材由E式載荷閘42送進缓衝腔室46,於此處機器人料 首先移動基材35進錯氣腔室5G,然後或許移動至預潔淨 腔室51。然後基材藉機器人48移轉入CVD鋁腔室57而形 成插塞,及移轉入PVD鋁或鋁/銅腔室58而平面化於介電 場上形成的任何結節。然後平面化結構於一或多個腔室乃 、56接受障壁層、最佳結構體經由移轉至pvD鈦腔室55, CVD氮化钦腔至56 ’然後移轉回pvD鈦腔室55而接受三層 連續層。然後機器人48將基材送回.冷卻腔室54。預期基材 可以任何順序於一個或多個腔室處理或冷卻任何次數而.完 成基材上所需結構的製造。基材於形成CAP後,由成組工 具移出,通過緩衝腔室46然後移回載荷閘42。微處理器控 制器70可供控制基材於系統中的移動以及期望各膜層於基 材上之順序及形成。 分級真空晶圓處理系統揭示於Tepman等.,於1993牟2 '·' " »"*"**~ 一 _____, — H 月ιό日獲頒之美國專名稱,,分級真空晶圓處理 系統及方法’’(併述於此以供參考)。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297:公釐) (請先閱讀背面之注意事項再填寫本頁) οί 裝· 訂 .0線 .A7 B7 ' 五、發明説明(14 ) 參照第10圖,示例說明供應氣體至系統之CVD腔室之 氣箱系統80。CVD鋁氣體箱84被提供氮氣、氬氣及氫氣 。前驅氣體氫化二甲基鋁(“DMAH”)及載氣如氫氣或氬氣 送入CVD鋁腔室57供沉積鋁。鋁腔室57配備有渦輪泵供 於腔室提供真空及鼓風機/乾燥泵86。 雖以PVD氮化鈦為佳,但根據本發明也可使用CVD氮 化鈦。使用CV.D氮化鈦時氣體箱82被供應氮氣、氬氣、 氦氣、氧氣、氟化氮及氫氣.。反應物肆二甲基胺基鈦 (“TDMAT”)連同惰性氣體氬氣及氮氣送進CVD氮化鈦腔 室56供處理。腔室565也配備有渦輪泵提供腔室内的真空 及鼓風機/乾燥泵86。 雖然前文係針本發明之較佳具體例,但可未悖離其基 本範圍作出其他及進一步具體例。本發明之範圍係由隨附 之申請專利範圍界定。 (請先閎讀背面之注意事項再填寫本頁) •裝' 訂 α線 _ 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐〉 A7 B7 五、發明説明(15 ) 元件標號對照表 42...載荷閘 (請先閲讀背面之注意事項再填寫本頁) -裝- 訂 Μ Μ濟部中央標準局員工消費合作社印製 10·.·結構體 12…結節 14··.貫穿孔 16.. .介電層 18.··導電件 19…表面 20.··晶:圓面,結構體21.. .基材 22.. .鈦層 23·.·鋁/銅層 24.··氮化鈦層,障壁層 26.. .介電層 28.. .貫穿孔 30…插塞 32.··結節 34,38...PVD鋁層, PVD鋁/銅層 36.. .障壁層 40…成組工具 44,48...機器人 45.. .基材 46.. .缓衝腔室 47.. .輪葉 50…除氣晶圓定向腔室 51.. .預潔淨室 52.. .FVD氮化鈦ARC腔室 54.. .冷卻腔室 55.. .鈦腔室 56.. .CVD氮化鈦腔室 57.. .CVD鋁腔室 58.. .?\^^鋁/銅處理腔室 60…移轉腔室 7〇…微處理器控制器 80…氣體箱系統 84…CVD鋁氣體箱 86.·.渦輪泵 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐〉

Claims (1)

  1. 經濟部中央標準局員工消費合作社印製 、鉍 ___ C8 _ ___________ D8 1 六、申請專^Ϊ一 ~ ^ ~— - L種於貫穿介電層之貫穿孔内形成加蓋金屬插塞之方 去其中該貫穿孔具有暴露出沉積增進材料的底面, 該方法包括下列步驟: a) 選擇性化學蒸氣沉積金屬於貫穿孔底面之沉積 增進材料上而於貫穿孔内形成插塞; b) 物理瘵氣沉積足量溫熱金屬於介電層上方而大 體平面.化介電層上的任何結節;及 c) 沉積障壁層於物理蒸氣沉積金屬上方。 .如申睛專利範圍第1項之方法,其中該選擇性化學蒸氣 沉積金屬為CVD鋁。 3·如申請專利範圍第2項之方法,其中該溫熱PVD金屬為 '· 溫熱PVD鋁。 4·如申請專利範圍第2項之方法,其中該溫熱pvD金屬為 .溫熱PVD鋁/銅。 5.如申請專利範圍第2項之方法,其中該溫熱金屬係 於約350°C至約50(TC之溫度沉積。 6·如申請專利範圍第5項之方法,其中該溫熱?乂〇金屬係 於約40CTC之溫度沉積。 7·如申請專利範圍第1項之方法,其中該障壁層包括選自 、 鈦,氮化鈦,钽及氣化鉅之金屬。 8·如申請專利範園第1項之方法,其申該障壁層包括第一 次層鈦,第二次層氮化鈦及第三次層鈦。 9.如申請專利範圍第丨項之方法,其又包括下列步驟: (d)沉積第二金屬層於障壁層上方。 標隼(CNS ) Α4· --— (請先閱讀背面之注意事項再填寫本頁) ---eJml Bnn nn n 經濟部令央標準局員工消費合作社印製 申請專利範團 〇·如申睛專利範圍第9項之太 v $之方法,其中該第二金屬層包括 D銘,CVD叙,銅或其組合。 U.如申請專利範圍第1項之方法,其又包括下述㈣: ⑷㈣壁層上方形成金屬互連。 12‘如申請專利範圍第 ..± 只〈万法,其中該金屬互連包括 銘。 · 13·如申请專利範圍第[項之方法,其中步驟⑷至⑷係於 包括化學洛氣沉積腔室及物理蒸氣沉積腔室之整合處 理系統内部進行。 4·種形成貝穿孔插塞之方法,該插塞通過在插塞各端 具有障壁層之介電層方法,該方法包括下列步驟: a) 沉積障壁層於工作件頂層上; b) 於金屬疊層上形成一層介電層; C)蝕刻貫穿介電層之貫穿孔而形成暴露金屬疊層 的底面; d) 選擇性化學蒸氣沉積導電材科於金屬疊層之暴 露部分上而於貫穿孔内形成插塞; e) 物理蒸氣沉積足量金屬於介電層上而大體平面 化於插塞形成過程中由於選擇性損失而形成的任何結 節;及 f) 沉積一層障體蓋層於濕熱之物理蒸氣沉積金屬 上方。 15.如申請專利範圍第14項之方法,其中兩層障壁層包括 選自鈦,氮化鈦,鈕及氮化钽之金屬。 本紙果尺度適用t國國家標準(CNS ) A4規格(210X297公釐) f請先閹讀背面之注意事項再填寫本頁j 裳· -訂-- 1 m^i · (b) 包 申請專利範圍 6.如申請專利範圍笛1 j ”圍第14項之方法,其又包括下迷步驟: 、 ⑻"L積第-金屬層於障體蓋層上方。 4專利乾園第14項之方法,其又包括下列步驟: ⑻沉積第二金屬層於障體蓋層上方;及 18 “⑻Μ積另一障壁層於第二金屬層上方。 •申晴專利範圍第17項之方$ # ^ α ^ ψ 至⑻操作之步驟。方法’其又包括重覆步驟 19.如申請專利範圍第16項之方法,其中該第二金屬層 括 PVDls 〇 (請先鬩讀背面之注意事項再填寫本頁) .裝- 經炎部中央標隼局員工消費合作社印製
    本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 21 - ---訂-----JW線、 • Hi —1 .
TW086119920A 1996-12-30 1997-12-29 In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization TW385533B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/791,653 US6110828A (en) 1996-12-30 1996-12-30 In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization

Publications (1)

Publication Number Publication Date
TW385533B true TW385533B (en) 2000-03-21

Family

ID=25154367

Family Applications (1)

Application Number Title Priority Date Filing Date
TW086119920A TW385533B (en) 1996-12-30 1997-12-29 In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization

Country Status (6)

Country Link
US (1) US6110828A (zh)
EP (1) EP0851482A3 (zh)
JP (1) JPH10233396A (zh)
KR (1) KR100489920B1 (zh)
SG (1) SG54612A1 (zh)
TW (1) TW385533B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040222525A1 (en) * 1997-03-14 2004-11-11 Rhodes Howard E. Advanced VLSI metallization
US6660650B1 (en) * 1998-12-18 2003-12-09 Texas Instruments Incorporated Selective aluminum plug formation and etchback process
US6245655B1 (en) * 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6211086B1 (en) * 1999-06-08 2001-04-03 United Microelectronics Corp. Method of avoiding CMP caused residue on wafer edge uncompleted field
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6509274B1 (en) * 2000-08-04 2003-01-21 Applied Materials, Inc. Method for forming aluminum lines over aluminum-filled vias in a semiconductor substrate
US20020192948A1 (en) * 2001-06-15 2002-12-19 Applied Materials, Inc. Integrated barrier layer structure for copper contact level metallization
KR100576363B1 (ko) * 2003-05-30 2006-05-03 삼성전자주식회사 인시투 화학기상증착 금속 공정 및 그에 사용되는화학기상증착 장비
KR100561523B1 (ko) * 2003-12-31 2006-03-16 동부아남반도체 주식회사 알루미늄 배선 형성 방법
DE102004015865B4 (de) * 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7799683B2 (en) 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
US7550055B2 (en) * 2005-05-31 2009-06-23 Applied Materials, Inc. Elastomer bonding of large area sputtering target
WO2007092856A2 (en) * 2006-02-06 2007-08-16 Tel Epion Inc. Copper interconnect wiring and method and apparatus for forming thereof
US7901869B2 (en) * 2007-06-01 2011-03-08 Applied Materials, Inc. Double patterning with a double layer cap on carbonaceous hardmask
US8183145B2 (en) * 2007-10-11 2012-05-22 International Business Machines Corporation Structure and methods of forming contact structures
US9293557B2 (en) 2014-02-20 2016-03-22 International Business Machines Corporation Low temperature spacer for advanced semiconductor devices
CN112379245B (zh) * 2020-11-11 2023-08-11 上海华力集成电路制造有限公司 金属电迁移测试结构及其测试方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2559030B2 (ja) * 1986-07-25 1996-11-27 日本電信電話株式会社 金属薄膜の製造方法
JPS6373660A (ja) * 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
JPS63160328A (ja) * 1986-12-24 1988-07-04 Mitsubishi Electric Corp 半導体装置の製造方法
US4960732A (en) * 1987-02-19 1990-10-02 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US4920072A (en) * 1988-10-31 1990-04-24 Texas Instruments Incorporated Method of forming metal interconnects
JPH038359A (ja) * 1989-06-06 1991-01-16 Fujitsu Ltd 半導体装置の製造方法
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
US5032233A (en) * 1990-09-05 1991-07-16 Micron Technology, Inc. Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point metal as an anti-reflective coating during laser planarization
US5147819A (en) * 1991-02-21 1992-09-15 Micron Technology, Inc. Semiconductor metallization method
JPH04368125A (ja) * 1991-06-14 1992-12-21 Canon Inc 半導体装置及びその製造方法
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
EP0608628A3 (en) * 1992-12-25 1995-01-18 Kawasaki Steel Co Method for manufacturing a semiconductor device having a multi-layer interconnection structure.
JPH0722339A (ja) * 1993-07-05 1995-01-24 Toshiba Corp 薄膜形成方法
US5393703A (en) * 1993-11-12 1995-02-28 Motorola, Inc. Process for forming a conductive layer for semiconductor devices
US5585308A (en) * 1993-12-23 1996-12-17 Sgs-Thomson Microelectronics, Inc. Method for improved pre-metal planarization
JPH0897287A (ja) * 1994-09-29 1996-04-12 Kawasaki Steel Corp ヴィア孔の埋め込み方法
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
EP0735586B1 (en) * 1995-03-28 2002-12-11 Texas Instruments Incorporated Semi-conductor structures
US5989633A (en) * 1996-04-29 1999-11-23 Applied Materials, Inc. Process for overcoming CVD aluminum selectivity loss with warm PVD aluminum

Also Published As

Publication number Publication date
SG54612A1 (en) 1998-11-16
US6110828A (en) 2000-08-29
KR19980064796A (ko) 1998-10-07
EP0851482A2 (en) 1998-07-01
EP0851482A3 (en) 1999-09-22
JPH10233396A (ja) 1998-09-02
KR100489920B1 (ko) 2005-09-16

Similar Documents

Publication Publication Date Title
TW385533B (en) In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
TW412787B (en) Single step process for blanket-selective CVD aluminum deposition
US6955983B2 (en) Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer
US7112528B2 (en) Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7172968B2 (en) Ultra thin, single phase, diffusion barrier for metal conductors
US6908848B2 (en) Method for forming an electrical interconnection providing improved surface morphology of tungsten
TW404016B (en) Method of forming contact plugs in semiconductor device having different sized contact holes
US20060194434A1 (en) Small grain size, conformal aluminum interconnects and method for their formation
JPH10209403A (ja) メモリ・アプリケーション用の絶縁性の高い複合薄膜
JP2001525986A (ja) キャパシタ及びキャパシタの形成方法
JP2005504430A (ja) 交点磁気記憶集積回路用の自己整合電導線
US6291250B1 (en) Method for manufacturing semiconductor memory device
CN107887325A (zh) 半导体结构的形成方法
US6613669B2 (en) Semiconductor device and method for manufacturing the same
JPS62500060A (ja) 集積回路デバイスおよびその製造方法
US6010961A (en) Methods of establishing electrical communication with substrate node locations, semiconductor processing methods of forming dynamic random access memory (DRAM) circuitry, and semiconductor assemblies
Misawa et al. Planarized copper multilevel interconnections for ULSI applications
EP0833381B1 (en) Method of forming electrical connections for a semiconductor
US5989633A (en) Process for overcoming CVD aluminum selectivity loss with warm PVD aluminum
US6146995A (en) Method for manufacturing interconnecting plug
US20220270979A1 (en) Formation of metal vias on metal lines
US7867898B2 (en) Method forming ohmic contact layer and metal wiring in semiconductor device
Kikkawa et al. Quarter-Micron Interconnection Technologies for 256-Mbit Dynamic Random Access Memories
JP2000200883A (ja) メモリセル用キャパシタの製作方法及び基板処理装置
JPH01150338A (ja) 配線形成方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees