KR19980064796A - 통합된 플러그/상호 접속 금속화를 위해 선택적 CVD Al을사용하는 인 슈트 캐핑된 알루미늄 플러그(캡) 형성 방법 - Google Patents

통합된 플러그/상호 접속 금속화를 위해 선택적 CVD Al을사용하는 인 슈트 캐핑된 알루미늄 플러그(캡) 형성 방법 Download PDF

Info

Publication number
KR19980064796A
KR19980064796A KR1019970077842A KR19970077842A KR19980064796A KR 19980064796 A KR19980064796 A KR 19980064796A KR 1019970077842 A KR1019970077842 A KR 1019970077842A KR 19970077842 A KR19970077842 A KR 19970077842A KR 19980064796 A KR19980064796 A KR 19980064796A
Authority
KR
South Korea
Prior art keywords
metal
layer
pvd
barrier layer
barrier
Prior art date
Application number
KR1019970077842A
Other languages
English (en)
Other versions
KR100489920B1 (ko
Inventor
테드 구오
리앙-유 첸
서치트라 서브라마냔
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980064796A publication Critical patent/KR19980064796A/ko
Application granted granted Critical
Publication of KR100489920B1 publication Critical patent/KR100489920B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 일반적으로 연속적 배리어 층을 갖는 선택적 CVD 금속 플러그를 구비하는 구조를 형성하는 방법을 제공한다. 특히, 본 발명은 선택적 CVD 금속 플러그 상에 유동 PVD 금속의 박막층 및 상기 금속 표면을 평탄화하기 위해 유전체 필드 상에 인접한 모듈을 부가한다. 다음에 배리어가 상기 평탄화된 금속 표면 상에 증착된다. 그러므로, 본 발명은 (1) 보이드없는 1/2 서브미크론 선택적 CVD 금속 비아 플러그와 상호 접속부, (2) CMP의 사용없는 감소된 수의 처리 단계, 및 (3) 금속의 전기적 이동 저항을 개선하는 상기 금속 플러그 상의 배리어 층을 가지는 장점을 제공한다.

Description

통합된 플러그/상호 접속 금속화를 위해 선택적 CVD Al을 사용하는 인 슈트 캐핑된 알루미늄 플러그(캡) 형성 방법
본 발명은 집적 회로 제조를 위한 금속화 방법에 관한 것으로서, 특히 고종횡비 1/2 서브미크론 응용에서 콘택 또는 비아와 같은 개구부를 포함하여 도전층 사이에 보이드 없는 플러그를 형성하기 위해 절연층내에 상호 접속부의 선택적 금속화에 관한 것이다.
1/2 서브 미크론 다중 레벨 금속화는 차세대 대규모 집적회로(VLSI)를 위한 중요한 기술중 하나이다. 이런 기술의 핵심이 되는 다중 레벨 상호 접속부는 콘택, 비아, 골(trough) 또는 다른 형상을 포함하여 고종횡비로 형성된 상호접속 형상의 평탄화를 요구한다. 이런 상호접속 형상의 신뢰가능한 형상은 VLSI의 성공 및 기판과 다이에 관련한 회로 밀도와 품질을 증가하기 위한 지속적인 노력에 매우 중요하다.
회로 밀도가 증가하기 때문에, 비아, 콘택과 다른 형상 뿐만 아니라 이들 사이의 유전체 재료의 폭은 감소되어야 한다. 그러므로, 굉장히 작은 보이드없는 형상을 형성하려는 지속적인 노력이 있어 왔다. 한가지 방법은 기판 표면상에 제공된 바와 같은 노출된 핵형성 표면에 대해서만 재료를 선택적 화학 기상 증착(CVD) 하는 것이다. 선택적 CVD는 선구물질 가스가 기판상의 "핵형성 사이트"에 접촉할 때 박막 층의 형성을 포함한다. 선구물질 가스는 핵형성 사이트에서 분해(반응)하고, 추가 증착이 발생하는 금속 층을 증착하며, 챔버로부터 배출되는 여러 가스성 부산물을 형성한다.
선택적 CVD 금속 증착은 통상 CVD Al 선구물질 가스의 증착이 도전성 핵형성 막으로부터의 전자 소스를 요구한다는 것에 기초하고 있다. 일반적인 선택적 CVD Al 증착 방법에 따르면, Al은 개구부의 하부에서 노출되는 금속막, 도핑된 실리콘 또는 금속 실리사이드와 같은 하부 도전층의 표면에만 성장하여야 한다. 거꾸로, Al은 유전체 필드 및 개구부 벽과 같은 유전체 표면에는 성장해서는 안된다. 하부 도전층이 Al 선구물질 가스의 분해를 위해 필요한 전자를 공급하지만 유전체 필드와 개구부 벽은 그렇지 않기 때문에, 얻어지는 Al의 증착은 "선택적"이 될 것이다. 선택적 증착은 매우 작은 치수(<0.25㎛), 고종횡비(>5:1) 비아 또는 콘택 개구부를 충전할 수 있는 개구부에서의 CVD Al 에피텍셜적 "하부에서 비롯된" 성장을 제공할 수 있다.
도 1를 참조하면, 집적 회로 구조(10)의 개략도가 도시되는데, 비아(14)내에 형성된 금속 상호 접속부가 도전 부재(18)에 의해 선택적으로 응집되고 유전체 층(16)의 표면(19)을 향해 위쪽으로 균일하게 성장되어 있다. 그러나, 선택적 증착은 또한 유전체 필드와 개구부 측벽에 거의 항상 존재하는 표면 결함의 위치에서 발생할 수 있다. 이런 결함은 자유 전자를 제공할 수 있어 상기 표면(19)과 개구부의 벽 상에 원치않는 CVD Al 노듈(12)을 형성하는 CVD Al 성장을 위한 핵형성 사이트로서 이바지한다.
이런 선택도 손실과 노듈의 형성을 최소화하기 위해 여러 방법이 사용되어 왔다. 예를 들면, 이런 방법은 선택적 증착 처리 이전에 유전체 표면을 미리 처리하고, 웨이퍼 표면(20)상에 형성된 상기 노듈(12)을 제거하기 위한 선택적 증착에 수반하여 이를테면 화학 기계적 연마(CMP)에 의한 소자의 평탄화를 포함한다. 그러나, 이런 방법은 요구된 회로 구조를 형성하는데 필요한 처리 단계를 복잡하게 하고 집적회로 제조 프로세스의 비용을 상당히 증가시킨다.
더욱이, 알루미늄 플러그와 상호 접속부는 전류 흐름에 기인한 알루미늄 원자의 전기적 이동 또는 운반을 나타내어 보이드 또는 라인 결함을 초래한다는 것이 알려져 있다. 전기적 이동은 집적도가 증가할수록 더 나빠지는 문제가 있으며, 전기적 이동의 효과는 사용 주기 이후에만 나타난다.
집적 회로의 전기적 이동을 감소하기 위한 한가지 방법은 알루미늄보다 더 낮은 저항성에도 불구하고 상당히 더 높은 전기적 저항을 가지는 구리와 그것의 합금을 사용하는 것이다. 이런 특성은 고레벨의 집적에서 경험되는 것과 같은 더 높은 전류 밀도를 지지하기 위해 중요하다. 그러나, 다중 레벨 금속화 시스템에 구리 금속을 사용할때의 1차 문제는 에칭 기술을 사용한 금속의 패턴화 어려움이다. 서브미크론 최소 구조 크기의 소자를 위하여, 구리 패턴화를 위한 습식 에칭 기술은 액체 표면 장력, 등방성 에칭 프로파일, 및 오버 에칭 제어의 어려움 때문에 부적당하다. 더욱이, 선택적 CVD 구리를 위한 신뢰가능한 방법은 입수할 수 없다.
알루미늄의 전기적 이동 성능은 알루미늄 형상 사이에 배리어 또는 캐핑 층을 형성함으로써 극적으로 개선될 수 있다고 알려져 있다. 그러나, 선택적 CVD Al 플러그 형성에 수반하는 유전체 필드 상의 CVD Al 노듈의 존재는 평탄하고, 균일하며, 연속적인 캐핑(capping)의 직접 증착을 방해한다. 현재, 화학 기계적 연마는 캐핑층이 증착되기 이전에 선택적 CVD Al 노듈을 제거하는데 사용되어야 한다. 이런 고가이고 복잡한 방법은 기판 표면의 불필요한 오염원을 초래하는 처리 진공의 차단을 포함하여 몇몇 부가적 단계를 포함한다.
그러므로, 선택적 CVD Al 비아/콘택 플러그 및 평탄하고, 연속적이며, 균일한 캐핑층을 가지는 PVD Al 상호 접속부를 형성하기 위한 간단한 방법이 필요하다. 특히, 개선된 전기적 이동 성능을 갖는 통합된 플러그/상호 접속 금속화를 제공하는 방법이 필요하다. 이런 방법들이 화학 기계적 연마(CMP)를 요구하지 않는다면 바람직할 것이다. 또한 상기 방법이 간단하고 완전히 통합된 클러스터 툴내에서 수행될 수 있다면 바람직하다. 상기 방법이 1/4 서브미크론 Al 플러그 충전을 위해 저온 증착이 제공된다면 더욱 바람직할 것이다.
본 발명의 목적은 선택적 CVD Al 비아/콘택 플러그 및 평탄하고, 연속적이며, 균일한 캐핑층을 가지는 PVD Al 상호 접속부를 형성하기 위한 간단한 방법을 제공하는 것이다.
도 1은 선택적 CVD Al에 의해 비아내에 형성된 금속 플러그와 유전체 필드 상에 형성된 노듈을 가지는 집적 회로 구조의 단면도.
도 2는 기판상에 형성된 금속화 구조의 단면도.
도 3은 유전체 층의 증착에 수반하는 도 2의 구조에 대한 단면도.
도 4는 하부 배리어 층을 노출하는 비아 또는 골을 형성하기 위해 유전체 층을 에칭한 후 도 3의 구조의 단면도.
도 5는 비아를 충전하기 위해 하부 배리어 층상에 선택적 CVD Al 플러그 형성 후 도 4의 구조의 단면도.
도 6은 얇은 유동 PVD AlCu층의 부가에 의한 노듈의 평탄화후 도 5의 집적 회로 구조의 단면도.
도 7은 배리어 층의 적용후 도 6의 구조의 단면도.
도 8은 표준 PVD Al 층의 적용후 도 7의 구조의 단면도.
도 9는 화학 기상 증착(CVD)과 물리 기상 증착(PVD) 둘다를 수행하기 위한 통합된 클러스터 툴의 평면도.
도 10은 도 9의 클러스터 툴 위에 있는 CVD 챔버에 가스를 공급하기 위한 가스 박스 시스템의 개략도.
* 도면의 주요부분에 대한 부호의 설명 *
21 : 기판 22 : Ti 층
23 : AlCu 층 24 : TiN 층
26 : 유전체층 28 : 비아
30 : 플러그
본 발명은 유전체 층을 통과하고 증착 증진 재료를 노출하는 바닥을 가지는 비아내에 캐핑된 금속 플러그를 형성하는 방법을 제공하는데, 상기 방법은 (a) 상기 비아내에 플러그를 형성하기 위하여 상기 비아의 증착 증진 재료 상에 CVD Al과 같은 금속을 선택적으로 화학 기상 증착하는 단계; (b) 플러그 형성동안 선택도의 손실에 의해 형성된 임의 노듈을 평탄화하기 위하여 상기 유전체 층 상에 PVD Al 또는 PVD AlCu와 같은 충분한 양의 금속을 물리 기상 증착하는 단계; 및 (c) 상기 물리 기상 증착된 금속 상에 배리어 층을 증착하는 단계를 포함한다. 상기 PVD 금속은 약 350 내지 약 500℃의 온도, 바람직하게 약 400℃의 온도에서 증착되는 것이 바람직하다. 더욱이, 상기 바람직한 배리어 층은 티타늄의 제 1 서브층, 티타늄 질화물의 제 2 서브층, 및 티타늄의 제 3 서브층을 포함한다. 다음에, PVD Al과 같은 제 2 금속층이 상기 배리어 층 상에 증착된다. 상기 방법은 바람직하게 산화물 층의 형성 또는 주위 환경에 대한 노출을 방지함으로써 인터페이스를 개선하도록 화학 기상 증착 챔버와 물리 기상 증착 챔버를 포함하는 통합된 처리 시스템에서 수행된다.
본 발명의 다른 특징에서, 에칭된 플러그의 단부에 배리어 층을 가지는 유전체 층을 통과하는 금속 비아를 형성하기 위한 방법이 제공된다. 상기 방법은 (a) 제품의 상부층상에 금속 스택(예를 들면, Ti/TiN/Al, Ti/TiN/Al/TiN 또는 Ti/TiN/W)을 증착하는 단계; (b) 상기 금속 스택 상에 유전체 층을 형성하는 단계; (c) 상기 금속 스택을 노출하는 바닥을 형성하기 위하여 상기 유전체 층을 통과하는 비아를 에칭하는 단계; (d) 상기 비아내에 플러그를 형성하기 위하여 상기 금속 스택의 노출된 부분에 도전성 재료를 선택적으로 화학 기상 증착하는 단계; (e) 플러그 형성동안 선택도의 손실에 의해 형성된 임의 노듈을 평탄화하기 위하여 상기 유전체 층 상에 상당한 양의 금속을 물리 기상 증착하는 단계; 및 (f) 상기 물리 기상 증착된 금속 상에 배리어 캡 층을 증착하는 단계를 포함한다. 또한 상기 방법은 상기 배리어 캡 층 상에 PVD Al과 같은 제 2 금속 층을 증착하는 단계를 포함할 수 있다. 다음에 각각의 금속화 층 사이에 배리어 층을 가지는 다중 레벨 집적 회로가 금속 스택을 에칭하고, (b)로 시작하는 단계들을 반복함으로써 형성될 수 있다.
본 발명의 한 특징에서, 선택적 화학 기상 증착(CVD) 금속 플러그 상에 평탄하고, 연속적이며, 균일한 캡 층을 가지는 캐핑된 알루미늄 플러그(CAP : capped aluminum plug)를 형성하기 위한 방법이 제공된다. 특히, 본 발명은 배리어 캡 층의 증착 이전에 노듈을 흡수하거나 평탄화하기 위하여 전형적으로 선택도의 손실에 기인하는 금속 노듈을 가지는 유전체 필드 상에 유동 물리 기상 증착(PVD)층을 부가한다. 다음에 순차적으로 증착된 Ti/TiN/Ti와 같은 평탄하고, 연속적이며, 균일한 배리어 캡 층이 PVD 알루미늄(Al)과 같은 금속 상호 접속 층의 증착에 의해 수반되어 형성될 수 있다. 그러므로, 본 발명은 (1) 보이드없는 1/2 서브미크론 선택적 CVD 금속 비아 플러그, (2) 인접한 플러그/상호 접속 금속화의 전기적 이동 저항을 개선하기 위해 상기 금속 플러그 상에 형성된 평탄하고, 균일한 배리어 캡 층, (3) 더적은 단계를 가지는 간단한 방법, (4) 낮은 유전상수 유기 재료와 호환가능한 통합된 저온 충전 방법, (5) 화학 기계적 연마(CMP)의 회피, 및 (6) 플러그의 상부 표면 상의 개선된 텍스처(예를 들면, 결정 방향)의 장점을 제공한다.
본 발명의 다른 특징에서, 집적 회로에 걸친 전기적 저항을 증가하기 위해 다중 레벨상의 금속 형상 사이에 평탄하고, 연속적이며, 균일한 배리어 층을 형성하기 위한 방법이 제공된다. 상기 방법은 배리어 층을 가지는 다른 유전체 또는 금속화 층의 순차적 형성 또는 이전에 이미 개시된 바와 같은 CAP 구조의 형성을 포함한다. 이런 단계들은 특히 알루미늄이 되는 금속 형성의 각각의 층 사이에 배리어 층을 가지는 다층 레벨 집적 회로를 형성하기 위해 반복될 수 있다.
이하 첨부된 도면을 참조로 본 발명의 바람직한 실시예가 설명될 것이다.
도 2 내지 도 8을 참조하면, 구조(20)의 단면도가 다중 층 사이에 배리어 층을 제공하는 본 발명의 예시적 집적 회로 제조 방법의 각각의 단계로 도시되어 있다. 도 5 내지 도 8에 도시된 바와 같이, 이런 방법의 한 특징은 본 발명의 CAP 처리를 포함한다. 도 2-8를 참조하여 기술된 특정 단계들은 미리 제조된 구조를 사용함으로써 조합 또는 제공될 수 있고 여전히 본 발명의 범위내에 있다고 인식하여야 한다.
도 2를 참조하면, 상기 구조(20)는 기판(21) 상에 형성된 집적 회로 형상의 상부 층을 나타내는 금속화 스택이다. 상기 금속화 스택은 다양한 구성을 취할 수 있지만, 여기에는 기판(21) 상의 티타늄(Ti) 층(22), 상기 Ti 층(22) 상의 알루미늄/구리(AlCu) 층(23), 및 상기 AlCu 층(23) 상의 티타늄 질화물(TiN) 층(24)을 포함하는 것과 같은 바람직한 구성으로 도시된다. 상기 스택은 화학적이고 물리적인 기상 증착을 포함하는 일반적 기술에 의해 형성될 수 있다.
상기 배리어 층(24)은 상기 배리어 층 상에 형성된 형상과 층(23)의 상호작용을 방지하고 본 발명에 따른 순차적 증착을 위한 핵형성 층으로서 이바지하도록 상기 AlCu 층(23) 상에 형성된다. 상기 배리어 층은 그것과 접촉하는 형상의 전기적 이동 저항을 증가시키는 도전성 재료를 포함하여 어떤 적당한 핵형성 또는 증착 증진 재료를 포함할 수 있다. 상기 배리어 층은 바람직하게 티타늄(Ti), 티타늄 질화물(TiN), 또는 이들의 조합물로 이루어지고 바람직하게 약 100 내지 500 옹스트롬(Å)의 두께를 가진다. 가장 바람직한 상기 배리어 층은 약 400Å의 전체 두게를 가지는 3개의 연속적인 층(Ti/TiN)에 의해 형성된다.
도 3을 참조하면, 유전체 층(26)은 전체 집적 회로의 일부를 형성하기 위해 종래 기술에 공지된 절차에 따라 상기 배리어 층(24) 상에 형성된다. 현재 공지되어 있거나 아직 개발 중에 있는 어떤 에칭 가능한 유전체 재료가 본 발명의 범위내에서 사용될 수 있다.
도 4를 참조하면, 도 3의 상기 구조(20)의 단면도가 상기 하부 배리어 층(24)의 일부를 노출하기 위한 상기 유전체 층(26)내의 비아(28)의 형성을 수반하여 도시된다. 플라즈마 에칭과 같은 어떤 에칭 공정이 상기 비아를 형성하기 위하여 본 발명의 범위내에서 사용될 수 있다. 실리콘 이산화물과 일부 유기 재료와 같은 유전체 재료를 에칭하기 위한 특정 기술은 완충된 플로오르화 수소산과 아세톤 또는 EKC와 같은 화합물을 각각 포함할 수 있다. 그러나, 가장 바람직한 에칭 방법은 1/2 서브미크론, 또는 1/4 서브미크론에서 조차 그 아래의 상기 배리어 층(24)의 완전함을 보존하면서 상기 유전체 층(26)내에 개구부와 비아(28)를 형성할 수 있는 방법이다.
그러므로 상기 비아(28)는 일반적으로 상기 금속화 스택의 하부 도전성 부재(22, 23, 24)로 전기적 접속을 제공할 도전성 플러그의 증착을 촉진하려는 경향이 있다. 상기 비아(28)는 배리어 층(24)의 증착 증진 재료의 적어도 일부를 노출하는 비전기적 도전성의 유전체 벽과 바닥을 제공한다. CVD 금속화 이전에 비아 또는 콘택을 세정하기 위해 이전 금속화 처리가 사용되는 것이 바람직하다.
선택적 CVD Al 처리는 전기적 도전성 표면 상의 금속 선구물질 분해에 기초한다. 예를 들면, CVD Al 막은 수소(H2) 또는 아르곤(Ar)과 같은 캐리어 가스와 디메틸 알루미늄 수산화물("DMAH")에 의해 형성될 수 있다. 이런 특별한 반응은 DMAH가 전자 도너가 되는 증착 증진 재료, 이를테면 전기적 도전 재료의 표면과 접촉할 때 무척 상당히 발생한다. 그러므로, 도전성이 되는 일부 표면(상기 비아 바닥에 노출된 배리어 층(24))과 비도전성이 되는 일부 표면(상기 비아(28) 벽)을 갖는 구조를 준비함으로써 상기 CVD Al이 어디에 얼마나 증착되는지의 제어 또는 선택도의 적어도 일부의 측정을 달성하는 것이 가능하다.
도 5를 참조하면, 도 4의 상기 구조(20)의 단면도가 하부 배리어 층(24) 상의 선택적 CVD Al 플러그(30)의 형성을 수반하여 도시된다. 선택적 CVD Al은 보이드 없는 단결정 플러그(30)의 에피텍셜 성장을 제공한다. 그러나, 상기 CVD Al의 상당한 선택도에도 불구하고, 또한 소량의 CVD Al은 표면 결함이 금속 노듈(32)을 형성하기 위해 상기 비도전성 유전체 층(26) 상의 어디에 존재하든지 증착할 수 있다.
CVD Al이 여러 조건하에서 증착될 수 있더라도, 전형적 방법은 약 120℃ 내지 약 280℃의 기판 온도와 약 20Å/초 내지 약 2000Å/초, 바람직하게 약 1500Å/초의 증착 속도를 포함한다. CVD Al의 증착은 약 1토르 내지 약 80토르의 챔버 압력에서, 바람직하게 약 25토르가 되는 챔버 압력으로 수행될 수 있다. 상기 CVD Al를 위한 바람직한 증착 반응은 다음의 식 중 하나에 따른 디메틸 알루미늄 수산화물("DMAH")의 증착을 포함한다.
금속 플러그(30)를 형성하는 비아(28)내의 증착은 단지 상기 하부 도전층(24)의 노출된 표면이 상기 CVD Al를 증착하는 분해 반응을 증진하기 때문에 선택적이다. 그러므로, 상기 CVD Al은 상기 비아 벽상의 상당한 CVD Al 증착없이 상기 비아(28)를 충전하기 위해 상기 바닥으로부터 위쪽으로 증착된다.
더욱이, 상기 비아(28)는 실질적으로 비도전성 유전체 벽과 도전성 바닥 둘다를 포함한다. 이미 개시된 바와 같이, 상기 개구부의 유전체 벽과 같은 실질적 비도전성 재료는 양호한 전자 도너가 아니며, 그러므로 상기 CVD 금속 선구물질의 분해를 위한 양호한 핵형성을 제공하지 않는다. 오히려, 상기 CVD 금속막이 상기 비아 바닥의 노출된 도전성 표면 상에 형성하기 시작한다. 상기 금속의 초기 층이 상기 비아 바닥에 증착되어진 후, 상기 금속이 상기 비아를 충전하도록 위쪽으로 또는 외부로 상기 비아 바닥으로부터 성장하도록 하는 순차적 증착이 쉽게 발생한다.
상기 비아의 유전체 벽상의 결함이 상기 개구부내의 스캐터링된 노듈의 형성을 초래하더라도, 이런 노듈은 노듈 형성이 선택적 성장보다 무척 더 느린 속도로 발생하기 때문에 전형적으로 상기 개구부를 차단하거나 상기 개구부내에 보이드를 초래하지않는다. 상기 비아는 상기 도전성 비아 바닥이 전형적 결함보다 무척 더 큰 표면적을 노출하기 때문에 5:1 이상의 고종횡비를 가지는 비아에서 조차 노듈이 상기 비아를 가로질러 성장하여 거기에 보이드를 성장할 기회를 가지기 이전에 상기 바닥으로부터 위쪽으로 금속으로 충전된다.
도 6를 참조하면, 도 5의 상기 구조(20)의 단면도가 얇은 PVD Al 또는 PVD AlCu 층(34)의 부가에 의한 상기 노듈(32)(도 5 참조)의 평탄화를 수반하여 도시된다. 상기 금속은 상기 플러그(30)의 형성동안 선택도의 손실에 의해 형성되는 어떤 노듈을 실질적으로 평탄화하도록 충분한 양과 충분한 온도에서 상기 유전체 층(26) 상에 증착된다. 상기 PVD 금속 층(34)은 약 350℃ 내지 약 500℃, 바람직하게 약 400℃의 온도에서 약 100Å 내지 약 1200Å의 두께로 증착된다. 이런 PVD 단계는 균일하고 얇은 Al 층(34)을 형성하기 위하여 이들을 흡수 또는 평탄화함으로써 상기 CVD Al 노듈을 평탄화 한다.
도 7를 참조하면, 도 6의 상기 구조(20)의 단면도가 상기 PVD Al 또는 AlCu(34) 상의 배리어 층(36)의 적용을 수반하여 도시된다. 도 8의 스택(22, 23, 24)과 유전체 층(26) 상에 형성된 구조(30, 34, 36)는 상기 배리어 층이 상기 알루미늄 플러그를 "캐핑"하기 때문에 여기에서 캐핑된 알루미늄 플러그(CAP) 구조로서 참조된다. 이런 배리어 층(36)은 바람직하게 티타늄(Ti), 티타늄 질화물(TiN), 탄탈(Ta), 탄탈 질화물(TaN) 및 이들의 조합물로부터 선택된 PVD 층이다. 가장 바람직한 상기 배리어 층(36)은 3개의 연속층(Ti/TiN/Ti)에 의해 형성된다. 상기 배리어 층은 상기 알루미늄 플러그와 실절적으로 증착된 알루미늄 상호 접속부 또는 다른 형상 사이의 상호 작용을 제한하는 역할을 한다. 상기 배리어 층(36)은 그결과 전기적 이동 저항과 상기 Al 플러그(30)의 신뢰성을 증가시킨다. 상기 배리어 층(36)이 본 발명의 범위로 임의 두께를 가지더라도, 바람직한 Ti/TiN/Ti층의 두께는 약 300Å내지 약 500Å이고, 가장 바람직한 두께는 약 400Å이다. 상기 배리어 층의 TiN은 CVD 또는 PVD 방법에 의해 형성되지만, PVD TiN이 바람직하다. 더욱이, 상기 배리어 층의 Ti는 바람직하게 PVD Ti에 의해 형성된다.
도 8을 참조하면, 도 7의 상기 구조(20)의 단면도가 상호 접속 금속화를 제공하도록 표준 PVD Al 또는 AlCu 층(38)의 적용을 수반하여 도시된다. 상기 PVD Al 또는 AlCu 상호 접속부는 플러그와 상호 접속부에서 개선된 전기적 이동 성능을 가지는 통합된 플러그/상호접속 금속화를 제공하도록 도 7의 상기 CAP 구조 상에 형성된다. 부가적 처리 또는 다른 배리어 층을 포함하는 층이 본 발명의 범위내에서 상기 PVD Al 층 상에 형성될 수 있다는 것을 인지하여야 한다. 사실상, 상기 전체 처리는 여러번 반복될 수 있다는 것을 인지하여야 한다.
본 발명의 다른 특징에서, 플러그 또는 상호 접속부는 필드(PRIME) 상의 고도의 결정 방향과 선택적 반사성 개선을 제공하는 식으로 배리어 층 또는 CAP 층 상에 형성될 수 있다. 상기 플러그 또는 상호 접속부의 결정 방향은 상기 유전체 층과 금속 플러그를 증착하기 이전 또는 PVD 또는 CVD 기술에 의해 상기 유전체 필드 상에 상호 접속부를 증착하기 이전에 상기 배리어 층의 표면 상의 자기 정렬 재료의 극도로 얇은 또는 엡실론(ε) 층을 증착함으로써 개선된다.
상기 배리어 층(36) 상에 증착되는 엡실론 재료는 전형적으로 Ti, TiN 또는 이들의 조합물을 포함한다. 엡실론 층상에 형성된 전기적 도전 플러그 또는 상호 접속부의 반사성은 다른 핵형성 층 상에 형성된 상호 접속부에 관하여 개선된다. 엡실론 층은 티타늄, 티타늄 질화물, 알루미늄, Nb, 알루미늄 및 Ta로 이루어진 그굽으로부터 선택된 재료의 조합물로 형성될 수 있다.
도전성 막의 증착 이전에 소량의 재료 증착은 상기 막내의 상당히 기울어진 결정 구조의 성장을 촉진함으로써 반사성을 개신시킨다. 상기 얇은 자기 정렬 엡실론 층, 이를테면 Ti 층은 바람직하게 약 50Å 두께이고 바람직하게 도전 금속 플러그 또는 상호 접속부가 증착될 수 있는 표면을 제공하도록 PVD 기술을 사용하여 상기 필드 상에 증착된다. 상기 얇은 자기 정렬 엡실론 TiN 층은 바람직하게 일부가 기판상에 증착할 TiN의 플럭스를 제공하도록 질소 풍부 환경에서 내화성 재료의 타겟을 스퍼터링함으로써 증착된다.
상기 엡실론 층 상에 증착된 도전층은 엡실론 층없이 배리어 층 상에 직접 증착된 도전층보다 더 큰 약 30%가 되는 실리콘 베이스 라인을 사용하여 436㎛에서 측정된 반사성을 가진다.
상기 얇은 자기 정렬 엡실론 층은 결정 방향을 증진시킬 뿐만 아니라 얻어지는 플러그 또는 상호 접속부의 더 큰 결정 구조를 제공한다. 단일 Ti/TiN 핵형성 층에 부가적으로, Ti/TiN의 조합물, Ti/Al 층, TiN/Ti 층 또는 이들의 조합물이 증착된 Al 막내의 큰 결정 형성 및 <111> 결정 방향을 증진하도록 기판 상에 엡실론 층으로서 증착될 수 있다는 것을 발견하였다. 얻어지는 막의 결정 구조의 개선은 전기적 특성을 증진시키고 막내의 스트레스를 감소시킨다. 상기 방향은 상기 TiN 또는 Ti 층이 챔버내의 반응 종, 예 들어 탄소에 화학적으로 결합할 수 있도록 엡실론 Ti 또는 TiN 층 상에 PVD Al의 엡실론 층을 증착함으로써 개선될 수 있다.
상기 얇은 자기 정렬 막은 단일 원자 층 또는 단일 재료의 단층을 포함할 수 있거나 순차적으로 증착된 다중 재료의 원자층 또는 단층을 포함할 수 있다. 자기 정렬 재료는 막 형성을 증진하도록 일반적으로 균일하게 거친 정도로 요구된 표면 상에 분산되는 것이 바람직하다. 상기 자기 정렬 핵형성 재료는 전형적으로 그것의 반응과 증착된 도전 막층(이를테면, 금속 CVD 선구물질)의 결정화를 촉진할 수 있는 금속과 같은 도전성 재료가 될 수 있다. 바람직한 핵형성 층은 티타늄(Ti), 알루미늄(Al), 티타늄 질화물(TiN), 구리(Cu) 및 실리콘(Si)과 같은 도전성 재료로 이루어진다.
더욱이, 본 발명의 증착 단계는 CVD와 PVD 처리 챔버를 둘다 포함하는 통합된 클러스터 툴에서 수행되는 것이 바람직하다. 도 9를 참조하면, 예시적 통합된 클러스터 툴(40)의 개략도가 도시된다. 전형적으로, 기판은 카세트 로드록(42)을 통해 상기 클러스터 툴(40)로부터 진입되고 후퇴된다. 블래이드(47)를 가지는 로봇(44)은 상기 클러스터 툴을 통해 상기 기판(45)을 이동하도록 상기 클러스터 툴내에 배치된다. 하나의 로봇(44)은 전형적으로 상기 카세트 로드록(42), 디가스 웨이퍼 방향설정 챔버(50), 전세정 챔버(51), PVD TiN ARC 챔버(52) 및 냉각 챔버(54) 사이로 기판을 이송하도록 버퍼 챔버(46)내에 배치된다. 제 2 로봇(48)은 상기 냉각 챔버(54), 간섭성 Ti 챔버(55), CVD TiN 챔버(56), CVD Al 챔버(57) 및 PVD AlCu 처리 챔버(58)로 그리고 그것들로부터 기판을 이송하도록 이송 챔버(60)내에 배치된다. 통합 시스템내의 상기 이송 챔버(60)는 바람직하게 약 10-6내지 약 10-9torr의 진공으로 유지된다. 도 9의 상기 챔버 구성은 단일 클러스터 툴내에서 CVD와 PVD 처리를 둘다 할 수 있는 통합 처리 시스템을 포함한다. 상기 특별한 챔버 구성은 단순히 예시적이고 본 발명의 적용을 제한하는 것으로서 취해져서는 안된다.
본 발명에 따르면, 기판은 다른 챔버, 클러스터 툴 또는 시스템에 의한 이전 처리의 결과로서 표면상에 금속화 스택을 수용할 수 있다. 실리콘 웨이퍼 상에 형성된 전형적 금속화 스택은 얇은 Ti 층, AlCu 층 및 얇은 TiN 층을 포함한다. 더욱이, 상기 스택은 이미 종래 기술로 공지된 절차를 사용하여 비아를 형성하도록 패턴화 또는 에칭되는 제 1 상호 레벨 유전체 층을 수용할 수 있다. 다음에 제 1 금속화 스택과 유전체 층내에 에칭된 비아를 가지는 기판은 이미 기술된 비아내에 캐핑된 알루미늄 플러그(CAP)를 형성하기 위하여 바람직하게 상기 클러스터 툴(40)내에서 추가로 처리된다.
클러스터 툴(40)에서 수행되는 바람직한 처리에서, 상기 CAP는 상기 기판을 상기 카세트 로드록(42)으로부터 상기 로봇(44)이 우선 디가스 챔버(50)내로, 다음에 아마 전세정 챔버(51)로 기판(35)을 이동시키는 상기 버퍼 챔버(46)로 통과함으로써 형성된다. 다음에 상기 기판은 상기 유전체 필드 상에 형성된 어떤 노듈을 평탄화하기 위하여 상기 플러그룰 형성하는 CVD Al 챔버(57)와 PVD Al 또는 AlCu 챔버(58) 내로 그리고 그 사이로 상기 로봇(48)에 의해 이송될 수 있다. 다음에 상기 평탄화된 구조는 하나 이상의 챔버(55, 56)에서 배리어 층을 수용한다. 가장 바람직하게, 상기 구조는 PVD Ti 챔버(55), CVD TiN 챔버(56), 다음에 다시 PVD Ti 챔버(55)로 이송됨으로써 3개의 연속층을 수용한다. 다음에 상기 로봇(48)은 상기 기판을 다시 상기 냉각 챔버(54)로 보낸다. 상기 기판은 기판에서의 요구된 구조물의 제조를 달성하기 위하여 여러번 하나 이상의 챔버(54)에서 처리 또는 냉각될 수 있다고 예기된다. 상기 기판은 상기 버퍼 챔버(46)를 통해 그리고 다음에 상기 로드록(42)으로 상기 CAP의 형성을 수반하여 상기 클러스터 툴로부터 제거된다. 마이크로 프로세서 제어기(70)는 시스템에 걸친 기판의 이동 및 기판 상의 요구된 막층의 시퀀스와 형성을 제어하도록 제공된다.
단계적 진공 웨이퍼 처리 시스템은 Tepman 등에 의한 "단계적 웨이퍼 처리 시스템 및 그 방법"으로 명명된 미국 특허 제5,186,718호에 개시되어 있다.
도 10을 참조하면, 상기 시스템의 CVD 챔버에 가스를 공급하기 위한 가스 박스 시스템(80)이 도시된다. 상기 CVD Al 가스 박스(84)는 N2, Ar 및 H2로 공급된다. 상기 선구물질 가스 디메틸 알루미늄 수산화물("DMAH") 및 H2또는 Ar과 같은 캐리어 가스는 알루미늄의 증착을 위해 CVD Al 챔버(57)내로 통과된다. 챔버(57)는 상기 챔버와 송풍기/건조 펌프(86)에 진공을 제공하기 위한 터보 펌프를 갖추고 있다.
PVD TiN이 바람직하더라도, 본 발명에 따라 CVD TiN이 사용될 수 있다. CVD TiN이 사용되는 경우에, 가스 박스(82)는 N2, Ar, He, O2, NF3및 H2로 공급된다. 상기 반응제 테트라키스 디메틸 아미노 티타늄("TDMAT")는 불활성 가스 Ar과 N2와 함께 처리를 위해 상기 CVD TiN 챔버(56)내로 통과된다. 또한 챔버(56)는 상기 챔버와 송풍기/건조 펌프(86)에 진공을 제공하기 위한 터보 펌프를 갖추고 있다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
본 발명은 (1) 보이드없는 1/2 서브미크론 선택적 CVD 금속 비아 플러그, (2) 인접한 플러그/상호 접속 금속화의 전기적 이동 저항을 개선하기 위해 상기 금속 플러그 상에 형성된 평탄하고, 균일한 배리어 캡 층, (3) 더적은 단계를 가지는 간단한 방법, (4) 낮은 유전상수 유기 재료와 호환가능한 통합된 저온 충전 방법, (5) 화학 기계적 연마(CMP)의 회피, 및 (6) 플러그의 상부 표면 상의 개선된 텍스처(예를 들면, 결정 방향)의 장점을 제공한다.

Claims (19)

  1. 유전체 층을 통과하고 증착 증진 재료를 노출하는 비아내에 캐핑된 금속 플러그를 형성하는 방법에 있어서,
    (a) 상기 비아내에 플러그를 형성하기 위하여 상기 비아 바닥의 상기 증착 증진 재료 상에 금속을 선택적으로 화학 기상 증착하는 단계;
    (b) 상기 유전체 층 상의 임의 노듈을 평탄화하기 위하여 상기 유전체 층 상에 충분한 양의 유동 금속을 물리 기상 증착하는 단계; 및
    (c) 상기 물리 기상 증착된 금속 상에 배리어 층을 증착하는 단계를 포함하는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  2. 제 1항에 있어서, 상기 선택적으로 화학 기상 증착된 금속은 CVD Al인 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  3. 제 2항에 있어서, 상기 유동 PVD 금속은 유동 PVD Al인 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  4. 제 2항에 있어서, 상기 유동 PVD 금속은 유동 PVD AlCu인 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  5. 제 2항에 있어서, 상기 유동 PVD 금속은 약 350 내지 약 500℃의 온도에서 증착되는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  6. 제 5항에 있어서, 상기 유동 PVD 금속은 약 400℃의 온도에서 증착되는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  7. 제 1항에 있어서, 상기 배리어 층은 티타늄, 티타늄 질화물, 탄탈 및 탄탈 질화물로 이루어진 그룹으로부터 선택된 금속을 포함하는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  8. 제 1항에 있어서, 상기 배리어 층은 티타늄의 제 1 서브층, 티타늄 질화물의 제 2 서브층, 및 티타늄의 제 3 서브층을 포함하는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  9. 제 1항에 있어서, (d) 상기 배리어 층 상에 제 2 금속 층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  10. 제 9항에 있어서, 상기 제 2 금속 층은 PVD Al, CVD Al, Cu 또는 이들의 조합물로 구성되는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  11. 제 1항에 있어서, (d) 상기 배리어 층 상에 금속 상호 접속부를 형성하는 단계를 더 포함하는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  12. 제 11항에 있어서, 상기 금속 상호 접속부는 알루미늄을 포함하는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  13. 제 1항에 있어서, 상기 단계 (a) 내지 (c)는 화학 기상 증착 챔버와 물리 기상 증착 챔버를 포함하는 통합된 처리 시스템에서 수행되는 것을 특징으로 하는 캐핑된 금속 플러그 형성 방법.
  14. 유전체 층을 통과하고 각 단부에 배리어 층을 가지는 비아 플러그 형성 방법에 있어서,
    (a) 제품의 상부 층 상에 배리어 층을 증착하는 단계;
    (b) 상기 배리어 층 상에 유전체 층을 형성하는 단계;
    (c) 상기 배리어 층을 노출하는 바닥을 형성하기 위하여 상기 유전체 층을 통해 비아를 에칭하는 단계;
    (d) 상기 비아내에 플러그를 형성하기 위하여 상기 배리어 층의 노출된 부분에 도전성 재료를 선택적으로 화학 기상 증착하는 단계;
    (e) 플러그 형성 동안 선택도의 손실에 의해 형성되는 임의 노듈을 평탄화하기 위하여 상기 유전체 층 상에 충분한 양의 유동 금속을 물리 기상 증착하는 단계; 및
    (f) 상기 유동 물리 기상 증착된 금속 상에 배리어 캡 층을 증착하는 단계를 포함하는 것을 특징으로 하는 비아 플러그 형성 방법.
  15. 제 14항에 있어서, 상기 둘다의 배리어 층은 티타늄, 티타늄 질화물, 탄탈 및 탄탈 질화물로 이루어진 그룹으로부터 선택된 금속을 포함하는 것을 특징으로 하는 비아 플러그 형성 방법.
  16. 제 14항에 있어서,
    (g) 상기 배리어 캡 층 상에 제 2 금속 층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 비아 플러그 형성 방법.
  17. 제 14항에 있어서,
    (g) 상기 배리어 캡 층 상에 제 2 금속 층을 증착하는 단계; 및
    (h) 상기 제 2 금속 층 상에 다른 배리어 층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 비아 플러그 형성 방법.
  18. 제 17항에 있어서, 상기 단계 (b) 내지 (h)를 반복하는 단계를 더 포함하는 것을 특징으로 하는 비아 플러그 형성 방법.
  19. 제 16항에 있어서, 상기 제 2 금속층은 PVD Al를 포함하는 것을 특징으로 하는 비아 플러그 형성 방법.
KR1019970077842A 1996-12-30 1997-12-30 통합된플러그/상호접속금속부를위해선택적cvda1을사용하는인슈트캐핑된알루미늄플러그(캡)형성방법 KR100489920B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/791653 1996-12-30
US08/791,653 US6110828A (en) 1996-12-30 1996-12-30 In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
US8/791,653 1996-12-30

Publications (2)

Publication Number Publication Date
KR19980064796A true KR19980064796A (ko) 1998-10-07
KR100489920B1 KR100489920B1 (ko) 2005-09-16

Family

ID=25154367

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970077842A KR100489920B1 (ko) 1996-12-30 1997-12-30 통합된플러그/상호접속금속부를위해선택적cvda1을사용하는인슈트캐핑된알루미늄플러그(캡)형성방법

Country Status (6)

Country Link
US (1) US6110828A (ko)
EP (1) EP0851482A3 (ko)
JP (1) JPH10233396A (ko)
KR (1) KR100489920B1 (ko)
SG (1) SG54612A1 (ko)
TW (1) TW385533B (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040222525A1 (en) * 1997-03-14 2004-11-11 Rhodes Howard E. Advanced VLSI metallization
US6660650B1 (en) * 1998-12-18 2003-12-09 Texas Instruments Incorporated Selective aluminum plug formation and etchback process
US6245655B1 (en) * 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6211086B1 (en) * 1999-06-08 2001-04-03 United Microelectronics Corp. Method of avoiding CMP caused residue on wafer edge uncompleted field
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6509274B1 (en) * 2000-08-04 2003-01-21 Applied Materials, Inc. Method for forming aluminum lines over aluminum-filled vias in a semiconductor substrate
US20020192948A1 (en) * 2001-06-15 2002-12-19 Applied Materials, Inc. Integrated barrier layer structure for copper contact level metallization
KR100576363B1 (ko) * 2003-05-30 2006-05-03 삼성전자주식회사 인시투 화학기상증착 금속 공정 및 그에 사용되는화학기상증착 장비
KR100561523B1 (ko) * 2003-12-31 2006-03-16 동부아남반도체 주식회사 알루미늄 배선 형성 방법
DE102004015865B4 (de) * 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7799683B2 (en) 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
US7550055B2 (en) * 2005-05-31 2009-06-23 Applied Materials, Inc. Elastomer bonding of large area sputtering target
WO2007092856A2 (en) * 2006-02-06 2007-08-16 Tel Epion Inc. Copper interconnect wiring and method and apparatus for forming thereof
US7901869B2 (en) * 2007-06-01 2011-03-08 Applied Materials, Inc. Double patterning with a double layer cap on carbonaceous hardmask
US8183145B2 (en) * 2007-10-11 2012-05-22 International Business Machines Corporation Structure and methods of forming contact structures
US9293557B2 (en) 2014-02-20 2016-03-22 International Business Machines Corporation Low temperature spacer for advanced semiconductor devices
CN112379245B (zh) * 2020-11-11 2023-08-11 上海华力集成电路制造有限公司 金属电迁移测试结构及其测试方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2559030B2 (ja) * 1986-07-25 1996-11-27 日本電信電話株式会社 金属薄膜の製造方法
JPS6373660A (ja) * 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
JPS63160328A (ja) * 1986-12-24 1988-07-04 Mitsubishi Electric Corp 半導体装置の製造方法
US4960732A (en) * 1987-02-19 1990-10-02 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US4920072A (en) * 1988-10-31 1990-04-24 Texas Instruments Incorporated Method of forming metal interconnects
JPH038359A (ja) * 1989-06-06 1991-01-16 Fujitsu Ltd 半導体装置の製造方法
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
US5032233A (en) * 1990-09-05 1991-07-16 Micron Technology, Inc. Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point metal as an anti-reflective coating during laser planarization
US5147819A (en) * 1991-02-21 1992-09-15 Micron Technology, Inc. Semiconductor metallization method
JPH04368125A (ja) * 1991-06-14 1992-12-21 Canon Inc 半導体装置及びその製造方法
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
EP0608628A3 (en) * 1992-12-25 1995-01-18 Kawasaki Steel Co Method for manufacturing a semiconductor device having a multi-layer interconnection structure.
JPH0722339A (ja) * 1993-07-05 1995-01-24 Toshiba Corp 薄膜形成方法
US5393703A (en) * 1993-11-12 1995-02-28 Motorola, Inc. Process for forming a conductive layer for semiconductor devices
US5585308A (en) * 1993-12-23 1996-12-17 Sgs-Thomson Microelectronics, Inc. Method for improved pre-metal planarization
JPH0897287A (ja) * 1994-09-29 1996-04-12 Kawasaki Steel Corp ヴィア孔の埋め込み方法
US5523259A (en) * 1994-12-05 1996-06-04 At&T Corp. Method of forming metal layers formed as a composite of sub-layers using Ti texture control layer
EP0735586B1 (en) * 1995-03-28 2002-12-11 Texas Instruments Incorporated Semi-conductor structures
US5989633A (en) * 1996-04-29 1999-11-23 Applied Materials, Inc. Process for overcoming CVD aluminum selectivity loss with warm PVD aluminum

Also Published As

Publication number Publication date
SG54612A1 (en) 1998-11-16
US6110828A (en) 2000-08-29
EP0851482A2 (en) 1998-07-01
EP0851482A3 (en) 1999-09-22
JPH10233396A (ja) 1998-09-02
KR100489920B1 (ko) 2005-09-16
TW385533B (en) 2000-03-21

Similar Documents

Publication Publication Date Title
KR100502252B1 (ko) 구리라인상호접속부와선택적cvd알루미늄플러그를사용하는평탄화된이중다마신금속배선방법
US6077781A (en) Single step process for blanket-selective CVD aluminum deposition
KR100489920B1 (ko) 통합된플러그/상호접속금속부를위해선택적cvda1을사용하는인슈트캐핑된알루미늄플러그(캡)형성방법
US6207222B1 (en) Dual damascene metallization
US6120844A (en) Deposition film orientation and reflectivity improvement using a self-aligning ultra-thin layer
US7470612B2 (en) Method of forming metal wiring layer of semiconductor device
US5442235A (en) Semiconductor device having an improved metal interconnect structure
US7384866B2 (en) Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer
US5918149A (en) Deposition of a conductor in a via hole or trench
US6066358A (en) Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
KR100339179B1 (ko) 상호 접속 구조 및 그 형성 방법
US5960320A (en) Metal wiring layer forming method for semiconductor device
US5989633A (en) Process for overcoming CVD aluminum selectivity loss with warm PVD aluminum
Wang et al. Barrier capabilities of selective chemical vapor deposited W films and WSiN/WSi x/W stacked layers against Cu diffusion
EP0805489A2 (en) Selective via fill using a sacrificial layer
US6979642B1 (en) Method of self-annealing conductive lines that separates grain size effects from alloy mobility
US7169706B2 (en) Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee