TW380301B - An open-bottomed via liner structure and method for fabricating same - Google Patents

An open-bottomed via liner structure and method for fabricating same Download PDF

Info

Publication number
TW380301B
TW380301B TW086118239A TW86118239A TW380301B TW 380301 B TW380301 B TW 380301B TW 086118239 A TW086118239 A TW 086118239A TW 86118239 A TW86118239 A TW 86118239A TW 380301 B TW380301 B TW 380301B
Authority
TW
Taiwan
Prior art keywords
layer
item
patent application
deposited
hole
Prior art date
Application number
TW086118239A
Other languages
English (en)
Inventor
Andrew H Simon
Cyprian E Uzoh
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Application granted granted Critical
Publication of TW380301B publication Critical patent/TW380301B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

A7 B7 經濟部中央標準局貝工消費合作社印製 五、發明説明G ) 發明領域 本發明係關於在半導體裝置中形成擋件或襯墊層之結構 之製成’特別的是本發明係關於在一通孔侧壁而不在通孔 底部產生絕緣與擴散擋件之襯墊結構之製成。 發明背景 一半導體晶片内裝一列裝置,而裝置之接觸點係由導電 線圖案予以銜接,為了獲取所有之裝置優點及在—給定晶 片上取得電路密度,通常需在晶片之不同裝置與電路元件 之間做成銜接。惟,由於一晶片上之裝置與電路整合高 度,銜接無法再以單一之導電線網路達成,其通常需形成 二或多條此高度之導電線’且呈垂直間隔及由中間絕緣層 分隔。 ,銜接工作可利用通孔而形成於不同高度之導電線之間, 通孔係姓刻穿過將諸高度分隔之絕緣層,通孔填注金屬而 形成通孔柱’諸層導電線銜接圖案以及由通孔柱銜接之個 別向度層-併操作而在晶片上之電路間配送訊號。 在此單純型式中,一诵^丨夕愈】士,& + 疋I成為先以阻光件遮蔽一絕 緣層,然後選擇性蝕刻一部份之 丨1刀 <、名緣層。通孔利用習知之 ,-η相平版印刷技術,以蝕 」牙避形成於阻光件中之開 孔,以利形成底部導電層之一開 理,菩Μ Ρ人 根據縱橫比及接地原 f向或非寺向之飯刻過程可 中。 、征J ^於製成一孔於介電質
通孔蝕刻且阻光件移除後,即可沉積—道兩 中,壤册奸孤 > 社寸迅層於通孔 導材枓儿積於通孔中,以形成導+猛Μ、+ A 机聲電層間t電力銜 私紙張尺度適财ϋ @家轉(CNS)概格( (請先閲讀背面之注意事項再填寫本頁)
五、發明説明(: 接惟,一襯墊層通常為絕緣層與導電層之間所需者。 通孔侧壁上呈現之—槪塾層係有其必要 除層及導體金屬德典p W 為…構上g 展之η:= 發生,除非在導電層及韻刻絕續 間有-保叙_層。惟,相較於導電材料則最佳次 :現::科應較具電阻性,使得存在於通孔底部之襯墊可塌 σ、Ί接觸阻抗’接觸阻抗之增加並不必要,因其合= =訊號缓慢傳送通過線路結構。為了結構完整性:: i·層應概設於整個侧壁以及大致覆蓋通孔之底部。 襯墊及導電層可利用賤射、⑽、無電式沉積及電子沉 積而積存,通常Rf偏恩賤射係屬習知技術且在賤射沉積而 離子衝擊於待沉積層期間相關於材料之重複放射。作用 時,Rf偏壓崎為沉積期間一基層或薄膜之正離子衝擊, 因此,在Rf偏壓軸期間,其同時進行待沉積材料之蚀刻 及沉積,先前沉積之層並未蚀刻成為一標準Rf偏壓激射沉 積物之一部份。
Rf偏壓麟期間’粒子衝㈣—乾物上,使㈣滅射至 半導體晶片基層上,在製程期間待沉積之離子亦衝擊於半 導體基層’使基層可具有一平滑表面。 可形成-襯塾層之材料大致具有一大於導電材料之電 阻,且襯蟄材料通常選擇為可用時減至最低之接觸阻抗、 在絕緣材料與導電材料之間提供適當之黏著、及提供一良 好之擴散擒件。當使用鋼做為導電材料時,接觸阻抗問題 更开/複雜使用鋼時,-連續之不同類襯I材料呈現於通 孔底部處之車交高阻抗將有礙於通孔導體材料與下層線路之 5- 本紙张尺度適用中國國家標準(CNS ) A4規格(210X297公釐) —J I - — -----'.—'^1 I (請先閲請背面.y注意事項再填寫本頁) 訂 .-7^ 經濟部中央標準局員工消費合作社印装 A7 B7 五、發明説明( 間單一晶體或連續介面之 其兴逾.曰ΛJ面又構成,早—晶體介面之構成係有 供較大之結構完整性。H 下層線路之間之介面提 ^ ^ , 列如,在鋼電鍍之前之銅籽層之沉 電鍍之環境,鋼層有利於在通孔金屬介 :==:體鋼結構之構成,因為種一構上較 並未揭述在—濺射沉積期間選擇地做㈣壓, 使传’儿積之槪塾層女it、S ~£丨、、 現上層大致自通孔《屐部去除,而通孔侧壁 儿積材料大致上不受影痤, ^ 〜a 棱供此一結構之方法需促 成連、銅通孔與導電金屬線之產生。 因此有必要利用—種產生—士 . 易於無電式沉積及電m 1: 法’孩万法較 式/儿積,尤其是做銅電鍍;及一種 、'’:構’其具有一襯墊材料呈現於通孔之侧壁上,而不在通 孔之底部上。 發明概述 緣是’本發明之—目的在提供-種製成-通孔之方法, 且具有概塾材料於通孔之側壁上,而不在通孔之底部上, 即形成一無底式通孔。 本發明之另一目的在提供-種製成-通孔之方法,且且 有一第二材料沉積於通孔之侧壁上,而不在通孔之底部 上。 本發明之又一目的在提供一種較利於鋼電鍍之環境。 上述及其他特性、内容、及優點可由本發明之以下詳細 說明獲得瞭解,其闡釋一種製成一無底式襯墊結構之方 -6- 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公澄) ---------.7—装— 「I {請先閲讀背面之注意事項再填寫本頁) -訂 T1" 經濟部中央標準局員工消費合作社印製 經濟部中央榡準局員工消費合作社印製 A7
----- B7_L 五、發明説明(4 ) 法,其包含: a) 取得一具有一通孔之材料; b) 沉積一第一層於具有通孔之材料上,第一層覆蓋於通 孔之側壁及底部; 、 c) 濺射沉積一第二層於第一層上,在第二層進行濺射沉 積之2份時間中令材料進行Rf偏壓,使得沉積於通孔底部 上足第一層大致去除,且沉積於通孔侧壁上之大致所有第 一層不受影響。 圖式簡單說明 圖la係用於一濺射沉積裝置所需之結構示意圖。 圖lb係材料與所需濺射沉積裝置之間關係之示意圖。 圖2a係執行本發明方法所產生之中間結構。 圖2b類似於圖2a ’惟其揭示中間結構之不同段細部構 ° 發明方法所取得之—最終結構,其中通孔之底 4並未包含沉積之第—或第二層。 :4揭示本發:方法所取得之一最終結構,其中通孔之底 4並未包含沉積之第—層。 較佳實例詳細說明 本發明包含-種積置—用於通孔結構之 ::孔:=最終結構中僅於侧壁上存在有L Γ 式展部即開放以供下層之線路做低阻抗式接 請參閱詳示之圖式,特別是圖la、lb,—實施本發明方 -7 用中國國^7^7^7^0><297公整) I n I I n I n n I I 1 (请光閲讀背面之注意事項真娘寫本頁)
,1T d A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(5 ) 法(所需裝置3G即揭示,裝置 1 ’容室^設有-準直儀2以及-夾環式屬沉積宣 在沉積期間令晶片3承受Rf偏壓2〇,二夾頭可 如圖lb所示接觸於晶片3。 衣式阳片夾頭4係 裝置之排列組構係指一結構例子 方法:其他裝置排列方式並不存在,且本= 依賴於圖1 a、1 b所示之裝置排列方式。 、 本:明之二實例皆具有特定之共同製程條件,而影響圖 在-較佳實例中,壓、叫放二; =壓:皆做控!1 ’而在一最佳實例中,所用之氣體應為 同軋肢,及在取理想之實例中,氣體係氬氣。 么總沉積時間及Rf使用因素在本發明之二方法實例中皆可 1化,總沉積時間為欲做Rf偏壓濺射沉積之材料、濺射沉 積材料之所需厚度、及通孔尺寸與縱橫比之函數。 μ偏壓作動之時間部份為三個變數之因素,諸變數即 丁tnt Trf〇n及Trfoff。Tt(Jt為賤射沉積過程之總時間量,即總沉 積時間;Trf(3n為濺射沉積步驟過程之時間,其中Rf偏壓係 接通或作動,Trfoff為濺射沉積步驟期間,當R f偏壓已接通 後之Rf偏壓斷電時間。其中,令本發明製程達到最佳效率 之變數係由下式界定: 1 )0.50 < Trfon/Ttot < 0.75 2) 0.75 < Trfoff/Ttot 3) (Trfoff-Trfon)/ Ttot >0.18 -8 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) I n I i n n n - n I n n - I T I I _ I n I -¾ *T-y (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 Α7 Β7 五、發明説明(6 上述岫二個等式說明Rf偏壓οη/off時間與總沉積時間之 間關係’(Trfoff_Trfc)n)界定濺射沉積之Rf偏壓部份使用 (duty)因素,使用因素為汉£偏壓接通或作動之時間長度。 上述又第三等式說明Rf偏壓之使用因素需至少大約為總沉 積時間之18%,以利取得本發明製程結果之結構。 本發明製程所產生之一最終結構係具有一呈現於一通孔 =壁上之襯墊層,但是未呈現於通孔之底部,在本發明之 製較中,圖2 a所示之一第一層i 0係先利用習知之任意裝置 而沉積於一通孔100,即第一層1〇覆蓋通孔1〇〇。第一層1〇 内含—可襯設通孔之材料,以防止隨後沉積之材料擴散至 絕緣層12,在本文中,絕緣層12係鋪設於一可做為金屬層 1 4之材料上,大體而言,通孔丨〇〇係延伸穿過絕緣層1 2而 到達底部之金屬層1 4。 圖2a所示在此例中做為一襯墊層之第一層丨〇係詳示於圖 2 b襯塾層大致以1 〇標示,其包含一侧壁襯整丨〇a、底部 :墊i〇b、及表面襯墊10c,表面櫬墊1〇c在必要時可利用任 意I習知裝置去除。覆蓋於侧壁1〇a之襯墊材料需延伸至通 孔之底面,因為一完整之遮擋件需存在於絕緣材料12與通 孔1 00内之任意後續沉積材料之間。可襯設於通孔之材料包 含但是不限定於鈥、氮化飲、鶴、叙、氮化麵、氮化艇/ 纽、;ΪΕ/氮化輕、及叙/氮化知/知。 本發明方法產生一種環境,其中襯墊材料之導電品質將 不再為襯墊材料選擇上之因素,在歷史上,一潛在性襯墊 材料之絕緣品質會造成一個別材料因接觸之阻抗增加而做 -9 - 本紙張尺度適用中國國家標準(CNS ) M規格(2Ι〇.χ297公瘦) (請先閲讀背面之注意事項再填寫本頁} .1¾ ίΐτ------——"------------- 經濟部中央標準局員工消费合作社印裝 五·、發明説明(7 ^不艮之襯墊材料選擇。由於櫬墊材料並未呈現於通孔底 4,因此其對電子訊號之傳送不致扮演障礙物之角色。因 此,一襯墊材料之絕緣品質不再成為—襯墊材料選擇上之 =要因素,而其他設計因素現在即可做成襯墊材料之選 。必要時除了上述之絕緣及半導體性材料外,現在可使 用其他者做為襯墊材料,因為其非導電性將不再影響電子 訊號之傳送。 θ 圖2 a、2 b代表文後將做進一步處理之中間結構。 圖3、4揭示本發明方法之不同實例所產生之變換最終結 構,在圖3中’一第二層"係利用_Rf偏壓濺射沉積而積 存於第一層1〇上,第二沉積物為濺射所沉積而成,濺射沉 _積製程條件需加以選擇,使得先前沉積之層,即塗覆於通 ^底部之一襯墊層10形成"大致去除”,但是在濺射沉積後 "大致所有”先前沉積襯墊層仍襯鋪於侧壁。"大致去除"係 指濺射沉積量不足以明顯影響最終結構之電子與電容潛在 性後仍留在通孔100底部上之第一沉積材料量,而,,大^所 有,,係指隨後沉積之濺射沉積量足以防止導電材料擴散至絕 緣層後仍留存於通孔侧壁上之第一沉積材料量。 此外,在圖3中之濺射沉積第二層u係大致自通孔底部 去除,但疋大致上所有濺射沉積第二層丨丨仍留存於侧壁 上,濺射沉積之第二層11可為一使通孔結構較導電於金屬 化沉積物之材料,此為多層式連通所必須者,或其可為本 身金屬化。 圖4中,一第二沉積物係進行濺射沉積於第二層n,第 -10- 本纸浪尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (讀先閱讀背面之注意事項再4寫本頁) ------;-----^----ΤΛ衣-----1Τ--- 五、發明説明(8 A7 B7 經濟部中央標準局員工消費合作杜印製 二沉積物利用_Rf偏壓進行濺射沉積,而Rf偏壓濺射沉積 ‘表條件為加以選擇,使得塗於通孔100底部之先前沉積襯 墊層1 0大致上去除,但是大致上所有襯設於侧壁之襯墊材 料仍田存。圖4中,Rf偏壓濺射沉積之第二層仍留存在通 孔底部以及通孔侧壁,第二層可為任意之材料,但是在本 發明之較佳實例中其係高度導電材料,例如銅、鋁、或 金。 範例1 在此例子中,製程變數導致圖4所示之最終結構,而第二 騎沉積層U呈現於通孔之侧壁及底部上,第—層即觀塾 層10則為氮化钽/妲,且係利用任意習知技藝沉積。第二 之Rf偏壓濺射沉積層u為鋼,銅之濺射沉積物產生一第二 層,,後一連續之銅結構可利用習知技藝之任意裝置而形 成於第二層U頂部,㈣沉積之Rf_約為16〇伏,^偏 壓放電大約i Kw’用於賤射沉積製程中之氣體為氬,且氨 壓力大約為5亳托(mTorr) ’總沉積時間τ⑹大约153秒、。 少於⑸秒之總沉積時間。為了形成第二層沉積物於通孔^ 行而無Rf偏壓作用,且通孔底部塗覆她冗積之第二層 丁如選足為可賦與-預定厚度之錢射沉積物於侧壁上 其係在前述等式之限制範圍内。 範例2 此例子中,製程變數導致圖3所示之最終結構,立中第二 偏壓歲射沉積層n呈現於侧壁上,但是不在通孔底部 進 且 (請先閲讀背面之注意事項再填寫本頁)
.IT -11 - A7 B7
五、發明説明(9 ) 上’第一層即襯墊層10為氮化鈕/钽且利用任意習知技藝. 衣置予以沉積,第二之Rf偏壓濺射沉積層丨丨為銅,用於濺 射’儿積之Rf偏壓電壓設定為大約16〇伏,Rf偏壓放電大約^ kw,濺射沉積製程中所用之氣體為氬且氬壓力大約5毫 托,>儿積時間Ttot大約153秒,Trf〇ff大約153秒,選定以 預足每度之錢射沉積物於侧.壁上,且其在前述等式 之限制範圍内。 本發明雖已藉由特定實例說明,但是經由前文可知其多 種變換、修改及變化對習於此技者皆屬顯而易知之事,緣 疋本發明之目的欲涵蓋諸此變換、修改及變化於本發明 之精神範疇及申請範園内。 A (請先閲讀背面之注意事項再填寫本頁} 經濟部中央標準局員工消費合作社印裝
^-----—訂------m-----

Claims (1)

  1. 六、申請專利範圍 A8 B8 C8 D8 經濟部中央標準局J工消費合作社印製 1. 一種製成一無底式襯塾結構之方法,包含以下步驟: a) 取得一具有一通孔之材料; b) /儿積第—層於具有通孔之材科上,第一層覆蓋於 通孔之侧壁及底部; 〇濺射沉積一第二層於第—層上,在第二層進行濺射 沉積义邵份時間中令材料進行^^偏壓,使得沉積於通孔 底部上之第一層大致去除,且沉積於通孔侧壁上之大致 所有第一層不受影響。 2. 如申請專利範圍第1項之方法, 3·如申請專利範圍第1項之方法 層包含一絕緣材料。 4. 如申請專利範園第1項之方法 層包含一半導體材料。 5. 如申請專利範圍第1項之方法 層包含一導電性材料。 6. 如申請專利範園第5項之方法 層係選自以下族群,包含鈦、氮化鈦、鎢、鈦鎢、氮化 鎢、钽、氮化钽、氮化妲/鈕、钽/氮化銓、及钽/氮化鈕 /麵。 7. 如申請專利範圍第6項之方法,其中第一層係氮化钽/ 輕。 8. 如申請專利範圍第1項之方法,寻中第二層係一金屬。 9. 如申請專利範圍第8項之方法,其中第二層係銅。 10_如申請專利範圍第1項之方法’其中濺射沉積Rf偏壓係 其中材料係一基層。 ,其中覆蓋於通孔之第一 ,其中覆蓋於通孔之第一 ,其中覆蓋於通孔之第一 ’其中覆蓋於通孔之第一 (請先閱讀背面之注意事項再填寫本頁) • I · t- Γ I -13- 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐)
    經濟部中央標準局員工消費合作社印製 作用為總濺射沉積時間之最少約25%及最多約50%。 11. —種半導體物件,包含: a·—材料,具有一通孔; b_~積置於通孔中之第一層,第一層襯設於通孔; /儿積於第一層上之第二層,第二層係利用偏壓 ,射沉積而積存;其中沉積於通孔底部上之第_層係在 第層之R f偏壓錢射沉積期間大致去除,但是沉積於通 孔側壁上之大致所有第一層則在第二層之Rf偏壓濺射沉 積期間不受影響。 12. 如申凊專利範圍第〗丨項之物件,其中第—層包含一半導 體材料。 13. 如申凊專利範園第丨丨項之物件,其中第一層包含一絕緣 材料。 认如申請專利範園第u項之物件,其中第—層包含一導電 性材料。 15. 如申請專利範圍第14項之物件,其中第—層包含氮化妲 /叙。 16. 如申請專利範圍第1 1項之物件,其中第二層包含一導電 性材料。 17. 如申請專利範圍第丨6項之物件,其中第二層係鋼。 -14 - 本紙張尺度適用中國國家標隼(CNS ) Α4規格(21〇Χ297公釐) .—^ I i ^ ~ 訂 (請先聞讀背面之注意事項再填寫本頁) Q
TW086118239A 1996-12-16 1997-12-04 An open-bottomed via liner structure and method for fabricating same TW380301B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/767,572 US5933753A (en) 1996-12-16 1996-12-16 Open-bottomed via liner structure and method for fabricating same

Publications (1)

Publication Number Publication Date
TW380301B true TW380301B (en) 2000-01-21

Family

ID=25079893

Family Applications (1)

Application Number Title Priority Date Filing Date
TW086118239A TW380301B (en) 1996-12-16 1997-12-04 An open-bottomed via liner structure and method for fabricating same

Country Status (3)

Country Link
US (2) US5933753A (zh)
KR (1) KR100268213B1 (zh)
TW (1) TW380301B (zh)

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6605197B1 (en) * 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US5897368A (en) * 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6911124B2 (en) * 1998-09-24 2005-06-28 Applied Materials, Inc. Method of depositing a TaN seed layer
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6306732B1 (en) * 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
US6309969B1 (en) * 1998-11-03 2001-10-30 The John Hopkins University Copper metallization structure and method of construction
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US7204917B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Workpiece surface influencing device designs for electrochemical mechanical processing and method of using the same
US6328872B1 (en) * 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US7425250B2 (en) * 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US6409904B1 (en) 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US6610190B2 (en) 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6261946B1 (en) * 1999-01-05 2001-07-17 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by high bias deposition
EP1149416A1 (en) 1999-01-08 2001-10-31 Applied Materials, Inc. Method of depositing a copper seed layer which promotes improved feature surface coverage
US6448657B1 (en) * 1999-04-21 2002-09-10 Applied Materials, Inc. Structure for reducing junction spiking through a wall surface of an overetched contact via
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6612915B1 (en) 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing
US6630059B1 (en) 2000-01-14 2003-10-07 Nutool, Inc. Workpeice proximity plating apparatus
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US20090020437A1 (en) * 2000-02-23 2009-01-22 Basol Bulent M Method and system for controlled material removal by electrochemical polishing
US7141146B2 (en) * 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US20060131177A1 (en) * 2000-02-23 2006-06-22 Jeffrey Bogart Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US6482307B2 (en) 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6582579B1 (en) 2000-03-24 2003-06-24 Nutool, Inc. Methods for repairing defects on a semiconductor substrate
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
AU2001247109A1 (en) * 2000-04-27 2001-11-12 Nutool, Inc. Conductive structure for use in multi-level metallization and process
US6465887B1 (en) * 2000-05-03 2002-10-15 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with diffusion barrier and process for making same
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
US6478936B1 (en) 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6436814B1 (en) 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US20040170753A1 (en) * 2000-12-18 2004-09-02 Basol Bulent M. Electrochemical mechanical processing using low temperature process environment
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6866763B2 (en) * 2001-01-17 2005-03-15 Asm Nutool. Inc. Method and system monitoring and controlling film thickness profile during plating and electroetching
US6624066B2 (en) 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6518641B2 (en) 2001-05-18 2003-02-11 International Business Machines Corporation Deep slit isolation with controlled void
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US6693356B2 (en) * 2002-03-27 2004-02-17 Texas Instruments Incorporated Copper transition layer for improving copper interconnection reliability
US6709553B2 (en) 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US20030216035A1 (en) * 2002-05-14 2003-11-20 Applied Materials, Inc. Method and apparatus for sputter deposition
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) * 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
JP2004063556A (ja) * 2002-07-25 2004-02-26 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
US6878620B2 (en) * 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US20070131563A1 (en) * 2003-04-14 2007-06-14 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US7648622B2 (en) * 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US7244344B2 (en) * 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
US7517736B2 (en) * 2006-02-15 2009-04-14 International Business Machines Corporation Structure and method of chemically formed anchored metallic vias
US7666787B2 (en) * 2006-02-21 2010-02-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US7528066B2 (en) 2006-03-01 2009-05-05 International Business Machines Corporation Structure and method for metal integration
US20070259519A1 (en) * 2006-05-02 2007-11-08 International Business Machines Corporation Interconnect metallization process with 100% or greater step coverage
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
US7439624B2 (en) * 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US7482261B2 (en) * 2006-07-26 2009-01-27 International Business Machines Corporation Interconnect structure for BEOL applications
US7396762B2 (en) * 2006-08-30 2008-07-08 International Business Machines Corporation Interconnect structures with linear repair layers and methods for forming such interconnection structures
US7531384B2 (en) * 2006-10-11 2009-05-12 International Business Machines Corporation Enhanced interconnect structure
US7625815B2 (en) * 2006-10-31 2009-12-01 International Business Machines Corporation Reduced leakage interconnect structure
US7666781B2 (en) * 2006-11-22 2010-02-23 International Business Machines Corporation Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
DE102007004884A1 (de) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
US20080197499A1 (en) * 2007-02-15 2008-08-21 International Business Machines Corporation Structure for metal cap applications
US7745282B2 (en) 2007-02-16 2010-06-29 International Business Machines Corporation Interconnect structure with bi-layer metal cap
US7566653B2 (en) * 2007-07-31 2009-07-28 International Business Machines Corporation Interconnect structure with grain growth promotion layer and method for forming the same
US20090098728A1 (en) * 2007-10-11 2009-04-16 Stephan Grunow Structure cu liner for interconnects using a double-bilayer processing scheme
US7732922B2 (en) * 2008-01-07 2010-06-08 International Business Machines Corporation Simultaneous grain modulation for BEOL applications
US20090179328A1 (en) * 2008-01-14 2009-07-16 International Business Machines Corporation Barrier sequence for use in copper interconnect metallization
US7846834B2 (en) * 2008-02-04 2010-12-07 International Business Machines Corporation Interconnect structure and method for Cu/ultra low k integration
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US7871935B2 (en) * 2008-04-23 2011-01-18 International Business Machines Corporation Non-plasma capping layer for interconnect applications
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
US8021974B2 (en) * 2009-01-09 2011-09-20 Internatioanl Business Machines Corporation Structure and method for back end of the line integration
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8436252B2 (en) * 2009-06-30 2013-05-07 Ibiden Co., Ltd. Printed wiring board and method for manufacturing the same
KR101211044B1 (ko) * 2010-05-27 2012-12-12 에스케이하이닉스 주식회사 멀티칩 구조를 가지는 반도체 집적 회로
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US8659156B2 (en) 2011-10-18 2014-02-25 International Business Machines Corporation Interconnect structure with an electromigration and stress migration enhancement liner
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US10002789B2 (en) 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
US9837356B1 (en) 2016-06-07 2017-12-05 International Business Machines Corporation Interconnect structures with enhanced electromigration resistance
US9899327B2 (en) 2016-06-24 2018-02-20 International Business Machines Corporation Surface treatment for semiconductor structure
US11018087B2 (en) 2018-04-25 2021-05-25 International Business Machines Corporation Metal interconnects
US10903111B2 (en) 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4141020A (en) * 1976-12-29 1979-02-20 International Business Machines Corporation Intermetallic aluminum-transition metal compound Schottky contact
US4158613A (en) * 1978-12-04 1979-06-19 Burroughs Corporation Method of forming a metal interconnect structure for integrated circuits
JPS60214544A (ja) * 1984-04-06 1985-10-26 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 絶縁材料に金属を密着して付着させる方法
US4829363A (en) * 1984-04-13 1989-05-09 Fairchild Camera And Instrument Corp. Structure for inhibiting dopant out-diffusion
FR2566184B1 (fr) * 1984-05-11 1987-07-24 Efcis Circuits integres a plusieurs niveaux d'interconnexion en alliage d'aluminium et procede de fabrication
EP0199078B1 (de) * 1985-04-11 1989-06-07 Siemens Aktiengesellschaft Integrierte Halbleiterschaltung mit einer aus Aluminium oder einer Aluminiumlegierung bestehenden Kontaktleiterbahnebene und einer als Diffusionsbarriere wirkenden Tantalsilizidzwischenschicht
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US5082802A (en) * 1985-11-12 1992-01-21 Texas Instruments Incorporated Method of making a memory device by packaging two integrated circuit dies in one package
US4968644A (en) * 1986-06-16 1990-11-06 At&T Bell Laboratories Method for fabricating devices and devices formed thereby
JPS6373660A (ja) * 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
JP2578193B2 (ja) * 1989-02-01 1997-02-05 沖電気工業株式会社 半導体素子の製造方法
US5093710A (en) * 1989-07-07 1992-03-03 Seiko Epson Corporation Semiconductor device having a layer of titanium nitride on the side walls of contact holes and method of fabricating same
US5801444A (en) * 1989-09-29 1998-09-01 International Business Machines Corporation Multilevel electronic structures containing copper layer and copper-semiconductor layers
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
JPH07105586B2 (ja) * 1992-09-15 1995-11-13 インターナショナル・ビジネス・マシーンズ・コーポレイション 半導体チップ結合構造
US5444302A (en) * 1992-12-25 1995-08-22 Hitachi, Ltd. Semiconductor device including multi-layer conductive thin film of polycrystalline material
US5385868A (en) * 1994-07-05 1995-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Upward plug process for metal via holes
US5514622A (en) * 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
JP2985692B2 (ja) * 1994-11-16 1999-12-06 日本電気株式会社 半導体装置の配線構造及びその製造方法
US5614437A (en) * 1995-01-26 1997-03-25 Lsi Logic Corporation Method for fabricating reliable metallization with Ta-Si-N barrier for semiconductors
US5616519A (en) * 1995-11-02 1997-04-01 Chartered Semiconductor Manufacturing Pte Ltd. Non-etch back SOG process for hot aluminum metallizations
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5556506A (en) * 1995-12-18 1996-09-17 Motorola, Inc. Method for forming a conductive layer of material on an integrated circuit substrate

Also Published As

Publication number Publication date
US6768203B1 (en) 2004-07-27
US5933753A (en) 1999-08-03
KR19980063734A (ko) 1998-10-07
KR100268213B1 (ko) 2000-10-16

Similar Documents

Publication Publication Date Title
TW380301B (en) An open-bottomed via liner structure and method for fabricating same
TW461085B (en) Integrated circuit device having dual damascene capacitor and associated method for making
TW479340B (en) Copper conductive line with redundant liner
TW490800B (en) Bi-layer etch stop for inter-level via
TW389991B (en) Method for producing copper interconnect
TW404016B (en) Method of forming contact plugs in semiconductor device having different sized contact holes
EP1221715A3 (en) High density metal capacitor using via etch stopping layer as field dielectric in dual-damascence interconnect process
TW200539303A (en) Semiconductor device and method for forming conductive path
TW389993B (en) Method for producing thin film resistance of dual damascene interconnect
US20010027008A1 (en) Method for forming interconnect structure in semiconductor device
TW441019B (en) Manufacturing method of copper damascene
US7452801B2 (en) Metal interconnection structure of a semiconductor device having low resistance and method of fabricating the same
TW480683B (en) Microstructure liner having improved adhesion
TW449887B (en) Deposited thin film build-up layer dimensions as a method of relieving stress in high density interconnect printed wiring board substrates
TW459289B (en) Embedded wiring structure and method for forming the same
TW432515B (en) Manufacturing method of copper damascene
TW407342B (en) Planarization method of damascene structure
TW506071B (en) Method of forming conductive interconnections on an integrated circuit device
JP2002359244A (ja) 半導体装置の製造方法
TW410434B (en) Structure of multilevel interconnects in semiconductor device and its manufacturing method
TW432485B (en) Sputtered tungsten diffusion barrier for improved interconnect robustness
TW396568B (en) Method for forming damascene interconnect by selectively electroplating copper
JP2798250B2 (ja) アルミニウム物質との低抵抗接点形成方法,およびアルミニウムとの低抵抗接点
TW409388B (en) Manufacture method of metal plug
TW320763B (en) Manufacturing method of inter-metal dielectric and intra-metal dielectric

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees