TW285756B - - Google Patents

Info

Publication number
TW285756B
TW285756B TW084107804A TW84107804A TW285756B TW 285756 B TW285756 B TW 285756B TW 084107804 A TW084107804 A TW 084107804A TW 84107804 A TW84107804 A TW 84107804A TW 285756 B TW285756 B TW 285756B
Authority
TW
Taiwan
Application number
TW084107804A
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Application granted granted Critical
Publication of TW285756B publication Critical patent/TW285756B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
TW084107804A 1994-07-18 1995-07-28 TW285756B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/276,734 US5573890A (en) 1994-07-18 1994-07-18 Method of optical lithography using phase shift masking

Publications (1)

Publication Number Publication Date
TW285756B true TW285756B (zh) 1996-09-11

Family

ID=23057872

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084107804A TW285756B (zh) 1994-07-18 1995-07-28

Country Status (6)

Country Link
US (4) US5573890A (zh)
EP (2) EP1786024A1 (zh)
JP (1) JP3751051B2 (zh)
KR (1) KR100382043B1 (zh)
CN (1) CN1115876A (zh)
TW (1) TW285756B (zh)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5573890A (en) * 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
US6185727B1 (en) * 1995-12-12 2001-02-06 International Business Machines Corporation Design verification for asymmetric phase shift mask layouts
US6269472B1 (en) * 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
EP0864750A4 (en) 1996-07-09 1999-06-09 Sanyo Electric Co LINEAR COMPRESSOR
US5994002A (en) * 1996-09-06 1999-11-30 Matsushita Electric Industrial Co., Ltd. Photo mask and pattern forming method
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6233044B1 (en) * 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US5923566A (en) * 1997-03-25 1999-07-13 International Business Machines Corporation Phase shifted design verification routine
US6057063A (en) * 1997-04-14 2000-05-02 International Business Machines Corporation Phase shifted mask design system, phase shifted mask and VLSI circuit devices manufactured therewith
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6190840B1 (en) * 1997-06-18 2001-02-20 Kabushiki Kaisha Toshiba Resist pattern forming method
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
WO1999014637A1 (en) * 1997-09-17 1999-03-25 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6453452B1 (en) * 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
JP3307313B2 (ja) 1998-01-23 2002-07-24 ソニー株式会社 パターン生成方法及びその装置
US6499003B2 (en) 1998-03-03 2002-12-24 Lsi Logic Corporation Method and apparatus for application of proximity correction with unitary segmentation
JP3461288B2 (ja) 1998-07-08 2003-10-27 松下電器産業株式会社 半導体装置用図形パターンの補正方法および半導体装置の製造方法
US6426131B1 (en) 1998-08-24 2002-07-30 Lsi Logic Corporation Off-axis pupil aperture and method for making the same
US6171739B1 (en) 1998-12-04 2001-01-09 Advanced Micro Devices, Inc. Method of determining focus and coma of a lens at various locations in an imaging field
US6391525B1 (en) 1998-12-08 2002-05-21 Advanced Micro Devices, Inc. Sidewall patterning for sub 100 nm gate conductors
JP3257593B2 (ja) 1999-02-05 2002-02-18 日本電気株式会社 半導体装置の製造方法
US5985498A (en) * 1999-03-01 1999-11-16 Advanced Micro Devices, Inc. Method of characterizing linewidth errors in a scanning lithography system
JP2000267258A (ja) * 1999-03-16 2000-09-29 Nec Corp レチクル
US6306558B1 (en) 1999-04-29 2001-10-23 Taiwan Semiconductor Manufacturing Company Method of forming small contact holes using alternative phase shift masks and negative photoresist
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6507944B1 (en) * 1999-07-30 2003-01-14 Fujitsu Limited Data processing method and apparatus, reticle mask, exposing method and apparatus, and recording medium
IT1313154B1 (it) * 1999-08-05 2002-06-17 St Microelectronics Srl Maschera litografica per dispositivi a semiconduttore con finestra discavo a sezione poligonale,in particolare avente una sezione di almeno
US6387596B2 (en) * 1999-08-30 2002-05-14 International Business Machines Corporation Method of forming resist images by periodic pattern removal
TW511238B (en) * 2001-08-30 2002-11-21 Nanya Technology Corp Auxiliary design method for contact hole lithography
US6301698B1 (en) 1999-09-01 2001-10-09 Taiwan Semiconductor Manufacturing Company Method for creating the sub-resolution phase shifting pattern for outrigger type phase shifting masks
US6210841B1 (en) 1999-09-07 2001-04-03 Taiwan Semiconductor Manufacturing Company Approach to increase the resolution of dense line/space patterns for 0.18 micron and below design rules using attenuating phase shifting masks
JP2001085296A (ja) * 1999-09-09 2001-03-30 Toshiba Corp レジストパターン形成方法
US6251546B1 (en) 1999-09-16 2001-06-26 Agere Systems Guardian Corp. Method of fabricating devices using an attenuated phase-shifting mask and an attenuated phase-shifting mask
AU7829800A (en) * 1999-09-16 2001-04-17 Regents Of The University Of California, The Optimal phase conflict removal for layout of alternating phase-shifting masks
US6335128B1 (en) * 1999-09-28 2002-01-01 Nicolas Bailey Cobb Method and apparatus for determining phase shifts and trim masks for an integrated circuit
US6528232B1 (en) 1999-11-01 2003-03-04 Nec Corporation Sulfonium salt compound, photoresist composition and method for patterning by employing same
US6537867B1 (en) * 1999-11-03 2003-03-25 Agere Systems Inc. High speed low voltage semiconductor devices and method of fabrication
US20020094492A1 (en) 1999-12-17 2002-07-18 Randall John N. Two-exposure phase shift photolithography with improved inter-feature separation
US6274281B1 (en) 1999-12-28 2001-08-14 Taiwan Semiconductor Manufacturing Company Using different transmittance with attenuate phase shift mask (APSM) to compensate ADI critical dimension proximity
US6638663B1 (en) * 2000-01-20 2003-10-28 Agere Systems Inc. Phase-shifting mask and semiconductor device
US6265120B1 (en) 2000-02-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Geometry design of active region to improve junction breakdown and field isolation in STI process
US7494749B2 (en) * 2000-02-04 2009-02-24 Advanced Micro Devices, Inc. Photolithography using interdependent binary masks
US6584609B1 (en) * 2000-02-28 2003-06-24 Numerical Technologies, Inc. Method and apparatus for mixed-mode optical proximity correction
US6493866B1 (en) * 2000-06-30 2002-12-10 Synopsys, Inc. Phase-shift lithography mapping and apparatus
US6681379B2 (en) 2000-07-05 2004-01-20 Numerical Technologies, Inc. Phase shifting design and layout for static random access memory
US6733929B2 (en) * 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6777141B2 (en) 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US7083879B2 (en) * 2001-06-08 2006-08-01 Synopsys, Inc. Phase conflict resolution for photolithographic masks
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
US6524752B1 (en) 2000-07-05 2003-02-25 Numerical Technologies, Inc. Phase shift masking for intersecting lines
US6541165B1 (en) 2000-07-05 2003-04-01 Numerical Technologies, Inc. Phase shift mask sub-resolution assist features
US6787271B2 (en) * 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US7028285B2 (en) * 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6811935B2 (en) * 2000-07-05 2004-11-02 Numerical Technologies, Inc. Phase shift mask layout process for patterns including intersecting line segments
US6978436B2 (en) * 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6632590B1 (en) * 2000-07-14 2003-10-14 Taiwan Semiconductor Manufacturing Company Enhance the process window of memory cell line/space dense pattern in sub-wavelength process
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
US6866971B2 (en) * 2000-09-26 2005-03-15 Synopsys, Inc. Full phase shifting mask in damascene process
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6792590B1 (en) * 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6539521B1 (en) 2000-09-29 2003-03-25 Numerical Technologies, Inc. Dissection of corners in a fabrication layout for correcting proximity effects
US6622288B1 (en) 2000-10-25 2003-09-16 Numerical Technologies, Inc. Conflict sensitive compaction for resolving phase-shift conflicts in layouts for phase-shifted features
US6901575B2 (en) 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
US6584610B1 (en) * 2000-10-25 2003-06-24 Numerical Technologies, Inc. Incrementally resolved phase-shift conflicts in layouts for phase-shifted features
US6728946B1 (en) 2000-10-31 2004-04-27 Franklin M. Schellenberg Method and apparatus for creating photolithographic masks
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6653026B2 (en) 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US6534224B2 (en) 2001-01-30 2003-03-18 Advanced Micro Devices, Inc. Phase shift mask and system and method for making the same
TW479159B (en) * 2001-02-09 2002-03-11 Nanya Technology Corp Interlacing phase shift mask and its manufacturing method
US6551750B2 (en) 2001-03-16 2003-04-22 Numerical Technologies, Inc. Self-aligned fabrication technique for tri-tone attenuated phase-shifting masks
US6617081B2 (en) * 2001-03-20 2003-09-09 United Microelectronics Corp. Method for improving process window in semi-dense area by using phase shifter
US6635393B2 (en) 2001-03-23 2003-10-21 Numerical Technologies, Inc. Blank for alternating PSM photomask with charge dissipation layer
US6566019B2 (en) 2001-04-03 2003-05-20 Numerical Technologies, Inc. Using double exposure effects during phase shifting to control line end shortening
US6553560B2 (en) * 2001-04-03 2003-04-22 Numerical Technologies, Inc. Alleviating line end shortening in transistor endcaps by extending phase shifters
US6593038B2 (en) 2001-05-04 2003-07-15 Numerical Technologies, Inc. Method and apparatus for reducing color conflicts during trim generation for phase shifters
US6569583B2 (en) 2001-05-04 2003-05-27 Numerical Technologies, Inc. Method and apparatus for using phase shifter cutbacks to resolve phase shifter conflicts
US6789237B1 (en) * 2001-05-11 2004-09-07 Northwestern University Efficient model order reduction via multi-point moment matching
CN101726988B (zh) * 2001-06-08 2012-09-05 新思公司 相移光刻掩模的设计和布局
US6852471B2 (en) * 2001-06-08 2005-02-08 Numerical Technologies, Inc. Exposure control for phase shifting photolithographic masks
US6721938B2 (en) 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
US6523165B2 (en) 2001-07-13 2003-02-18 Numerical Technologies, Inc. Alternating phase shift mask design conflict resolution
US7178128B2 (en) * 2001-07-13 2007-02-13 Synopsys Inc. Alternating phase shift mask design conflict resolution
US6664009B2 (en) 2001-07-27 2003-12-16 Numerical Technologies, Inc. Method and apparatus for allowing phase conflicts in phase shifting mask and chromeless phase edges
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6798017B2 (en) 2001-08-31 2004-09-28 International Business Machines Corporation Vertical dual gate field effect transistor
US6735752B2 (en) 2001-09-10 2004-05-11 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process features created by interactions between cells
US6738958B2 (en) 2001-09-10 2004-05-18 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process composite gates
US6698007B2 (en) 2001-10-09 2004-02-24 Numerical Technologies, Inc. Method and apparatus for resolving coloring conflicts between phase shifters
US6670082B2 (en) * 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6981240B2 (en) 2001-11-15 2005-12-27 Synopsys, Inc. Cutting patterns for full phase shifting masks
US6797438B1 (en) 2001-12-11 2004-09-28 Advanced Micro Devices, Inc. Method and enhancing clear field phase shift masks with border around edges of phase regions
US6675369B1 (en) 2001-12-11 2004-01-06 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks by adding parallel line to phase 0 region
US6749970B2 (en) * 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US6749971B2 (en) 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with chrome border around phase 180 regions
US6670646B2 (en) * 2002-02-11 2003-12-30 Infineon Technologies Ag Mask and method for patterning a semiconductor wafer
US7122281B2 (en) * 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
US6605481B1 (en) 2002-03-08 2003-08-12 Numerical Technologies, Inc. Facilitating an adjustable level of phase shifting during an optical lithography process for manufacturing an integrated circuit
US6920628B2 (en) * 2002-03-25 2005-07-19 Asml Masktools B.V. Method and apparatus for defining mask patterns utilizing a spatial frequency doubling technique
US6704921B2 (en) 2002-04-03 2004-03-09 Numerical Technologies, Inc. Automated flow in PSM phase assignment
US7001694B2 (en) * 2002-04-30 2006-02-21 Matsushita Electric Industrial Co., Ltd. Photomask and method for producing the same
US7037791B2 (en) * 2002-04-30 2006-05-02 Chartered Semiconductor Manufacturing Ltd. Application of single exposure alternating aperture phase shift mask to form sub 0.18 micron polysilicon gates
US6875624B2 (en) * 2002-05-08 2005-04-05 Taiwan Semiconductor Manufacturing Co. Ltd. Combined E-beam and optical exposure semiconductor lithography
US6785879B2 (en) * 2002-06-11 2004-08-31 Numerical Technologies, Inc. Model-based data conversion
US6711732B1 (en) * 2002-07-26 2004-03-23 Taiwan Semiconductor Manufacturing Company Full sized scattering bar alt-PSM technique for IC manufacturing in sub-resolution era
US6821689B2 (en) * 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
JP3793147B2 (ja) * 2002-12-04 2006-07-05 株式会社東芝 レチクルセット、レチクルセットの設計方法、露光モニタ方法、レチクルセットの検査方法及び半導体装置の製造方法
US6986972B1 (en) 2003-02-04 2006-01-17 Lsi Logic Corporation Alternating aperture phase-shift mask fabrication method
JP4641799B2 (ja) * 2003-02-27 2011-03-02 富士通セミコンダクター株式会社 半導体装置の製造方法
US7135255B2 (en) * 2003-03-31 2006-11-14 International Business Machines Corporation Layout impact reduction with angled phase shapes
US20040241554A1 (en) * 2003-05-29 2004-12-02 Lsi Logic Corporation, Milpitas, Ca Ion implantation phase shift mask
CN100478782C (zh) * 2003-06-16 2009-04-15 旺宏电子股份有限公司 不同层次的曝光方法
US7318214B1 (en) 2003-06-19 2008-01-08 Invarium, Inc. System and method for reducing patterning variability in integrated circuit manufacturing through mask layout corrections
CN100339765C (zh) * 2003-08-18 2007-09-26 旺宏电子股份有限公司 可降低光学接近效应的光罩
CN100380231C (zh) * 2003-08-28 2008-04-09 力晶半导体股份有限公司 光学光刻方法
WO2005050310A2 (en) * 2003-11-17 2005-06-02 Toppan Photomasks, Inc. Phase shift photomask and method for improving printability of a structure on a wafer
JP4488727B2 (ja) * 2003-12-17 2010-06-23 株式会社東芝 設計レイアウト作成方法、設計レイアウト作成システム、マスクの製造方法、半導体装置の製造方法、及び設計レイアウト作成プログラム
US20050263103A1 (en) * 2004-05-14 2005-12-01 Willard Updyke Double leash coupler
JP4582574B2 (ja) * 2004-06-04 2010-11-17 シャープ株式会社 位相シフトマスクおよびその製造方法
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
US7435533B2 (en) 2004-06-14 2008-10-14 Photronics, Inc. Method of forming a semiconductor layer using a photomask reticle having multiple versions of the same mask pattern with different biases
US7396617B2 (en) 2004-06-14 2008-07-08 Photronics, Inc. Photomask reticle having multiple versions of the same mask pattern with different biases
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
JP4598575B2 (ja) * 2005-03-17 2010-12-15 ルネサスエレクトロニクス株式会社 パターン形成方法、半導体装置の製造方法、位相シフトマスク及び位相シフトマスクの設計方法
US7470504B2 (en) * 2005-11-03 2008-12-30 International Business Machines Corporation Reflective film interface to restore transverse magnetic wave contrast in lithographic processing
US8048590B2 (en) * 2005-11-14 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask having a scattering bar structure that includes transverse linear assist features
KR101477262B1 (ko) * 2005-12-28 2014-12-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치 제조방법
JP2007264475A (ja) * 2006-03-29 2007-10-11 Fujitsu Ltd フォトマスクの作製方法及び半導体装置の製造方法
US7748839B2 (en) * 2006-05-09 2010-07-06 Lexmark International, Inc. Handheld printing with reference indicia
US20090037866A1 (en) * 2007-08-03 2009-02-05 International Business Machines Corporation Alternating phase shift mask optimization for improved process window
US9005848B2 (en) 2008-06-17 2015-04-14 Photronics, Inc. Photomask having a reduced field size and method of using the same
US9005849B2 (en) 2009-06-17 2015-04-14 Photronics, Inc. Photomask having a reduced field size and method of using the same
CN103105727B (zh) * 2011-11-15 2014-06-04 无锡华润上华科技有限公司 形成光掩膜版的方法及光掩膜版
US8875067B2 (en) * 2013-03-15 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reusable cut mask for multiple layers
CN112432308B (zh) * 2020-11-20 2022-07-08 珠海格力电器股份有限公司 触控交互装置及其控制方法、装置、空调机组

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2865685B2 (ja) * 1988-03-16 1999-03-08 株式会社日立製作所 半導体装置の製造方法
JP2710967B2 (ja) * 1988-11-22 1998-02-10 株式会社日立製作所 集積回路装置の製造方法
US5328807A (en) * 1990-06-11 1994-07-12 Hitichi, Ltd. Method of forming a pattern
JP3187859B2 (ja) * 1991-05-22 2001-07-16 株式会社日立製作所 マスクのパターンデータ作成方法および製造方法
JPH05232679A (ja) * 1992-02-21 1993-09-10 Hitachi Ltd マスク製造方法及びマスク欠陥修正方法
US5391441A (en) * 1992-02-21 1995-02-21 Hitachi, Ltd. Exposure mask and method of manufacture thereof
JPH05265183A (ja) * 1992-03-24 1993-10-15 Hitachi Ltd マスクパタン設計方法及びマスク
JPH05341498A (ja) * 1992-04-10 1993-12-24 Toshiba Corp フォトマスク設計装置および設計方法
US5308741A (en) * 1992-07-31 1994-05-03 Motorola, Inc. Lithographic method using double exposure techniques, mask position shifting and light phase shifting
US5302477A (en) * 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
US5538815A (en) * 1992-09-14 1996-07-23 Kabushiki Kaisha Toshiba Method for designing phase-shifting masks with automatization capability
US5308722A (en) * 1992-09-24 1994-05-03 Advanced Micro Devices Voting technique for the manufacture of defect-free printing phase shift lithography
JP3260474B2 (ja) * 1993-04-22 2002-02-25 株式会社日立製作所 位相シフタ自動配置方法及びそれを用いた位相シフタ自動配置装置
US5567553A (en) * 1994-07-12 1996-10-22 International Business Machines Corporation Method to suppress subthreshold leakage due to sharp isolation corners in submicron FET structures
US5573890A (en) * 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking

Also Published As

Publication number Publication date
US5573890A (en) 1996-11-12
CN1115876A (zh) 1996-01-31
EP0698916A3 (en) 1997-07-30
US5766806A (en) 1998-06-16
EP1786024A1 (en) 2007-05-16
JP3751051B2 (ja) 2006-03-01
US5702848A (en) 1997-12-30
KR960005760A (ko) 1996-02-23
JPH08179492A (ja) 1996-07-12
KR100382043B1 (ko) 2003-07-04
US5766804A (en) 1998-06-16
EP0698916A2 (en) 1996-02-28

Similar Documents

Publication Publication Date Title
TW285756B (zh)
DK0677466T3 (zh)
EP0671407A3 (zh)
EP0669187A3 (zh)
TW273649B (zh)
ITMI952373A0 (zh)
TW275661B (zh)
TW275710B (zh)
EP0676767A3 (zh)
DK0685247T3 (zh)
TW278176B (zh)
IN189841B (zh)
CU22450A3 (zh)
IN185425B (zh)
IN183287B (zh)
IN182237B (zh)
IN181353B (zh)
IN176299B (zh)
IN189383B (zh)
CN3029189S (zh)
EP0668066A3 (zh)
CN3029140S (zh)
EP0669703A3 (zh)
EP0665070A3 (zh)
EP0670600A3 (zh)

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees