TW202303762A - 積體電路裝置及其製造方法 - Google Patents

積體電路裝置及其製造方法 Download PDF

Info

Publication number
TW202303762A
TW202303762A TW111117490A TW111117490A TW202303762A TW 202303762 A TW202303762 A TW 202303762A TW 111117490 A TW111117490 A TW 111117490A TW 111117490 A TW111117490 A TW 111117490A TW 202303762 A TW202303762 A TW 202303762A
Authority
TW
Taiwan
Prior art keywords
interface
layer
conductive
sublayer
interface layer
Prior art date
Application number
TW111117490A
Other languages
English (en)
Inventor
李珍鎬
金潤洙
金海龍
金聖鉉
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW202303762A publication Critical patent/TW202303762A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/13Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body combined with thin-film or thick-film passive components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種積體電路(IC)裝置包括:下部電極,包含第一金屬;介電膜,位於下部電極上;以及導電介面層,位於下部電極與介電膜之間。導電介面層包括包含至少一種金屬元素的金屬氧化物膜。包含第二金屬的上部電極與下部電極相對,導電介面層及介電膜位於上部電極與下部電極之間。為了製造IC裝置,在基板上鄰近於絕緣圖案形成包含金屬的電極。在電極的表面上選擇性地形成導電介面層,所述導電介面層包括包含至少一種金屬元素的金屬氧化物膜。形成與導電介面層及絕緣圖案接觸的介電膜。

Description

積體電路裝置及其製造方法
[相關申請案的交叉參考]
本申請案基於2021年6月24日在韓國智慧財產局提出申請的韓國專利申請案第10-2021-0082331號且主張優先於此韓國專利申請案,所述韓國專利申請案的揭露內容全文併入本案供參考。
本揭露是有關於一種積體電路(integrated circuit,IC)裝置及其製造方法。
由於電子技術的發展,半導體裝置的縮小化(downscaling)已快速發展,且因此,電子裝置中所包括的圖案已被小型化(miniaturized)。因此,開發一種能夠減小具有小型化大小的電容器的漏電流(leakage current)並維持所期望電性特性的結構可為有益的。
本發明概念提供一種積體電路(IC)裝置,所述積體電路(IC)裝置可具有能夠減小電容器的漏電流並維持所期望電性特性的結構。
本發明概念亦提供一種製造IC裝置的方法,所述方法可減小電容器的漏電流並維持所期望電性特性。
根據本發明概念的一個態樣,提供一種IC裝置,所述IC裝置包括位於基板上的下部電極。下部電極包含第一金屬。介電膜位於下部電極上。導電介面層位於下部電極與介電膜之間。導電介面層包括包含至少一種金屬元素的金屬氧化物膜。上部電極與下部電極相對,導電介面層及介電膜位於上部電極與下部電極之間。上部電極包含第二金屬。
根據本發明概念的另一態樣,提供一種IC裝置,所述IC裝置包括包含主動區的基板。導電區位於主動區上。電容器位於導電區上。絕緣支撐圖案支撐電容器的一部分。電容器包括包含第一金屬的下部電極。下部電極包括與絕緣支撐圖案接觸的一部分。介電膜位於下部電極及絕緣支撐圖案上。導電介面層位於下部電極與介電膜之間。導電介面層包括包含至少一種金屬元素的金屬氧化物膜。上部電極與下部電極相對,導電介面層及介電膜位於上部電極與下部電極之間。上部電極包含第二金屬。
根據本發明概念的另一態樣,提供一種IC裝置,所述IC裝置包括包含主動區的基板。多個導電區位於主動區上。絕緣圖案在所述多個導電區上在側向方向上延伸。絕緣圖案具有與所述多個導電區在垂直方向上交疊的多個開口。多個下部電極經由所述多個開口穿過絕緣圖案。所述多個下部電極連接至所述多個導電區,且各自包含第一金屬。絕緣支撐圖案在於垂直方向上與絕緣圖案間隔開的位置處在側向方向上延伸。絕緣支撐圖案與所述多個下部電極中的每一者的一部分接觸,以支撐所述多個下部電極。介電膜位於所述多個下部電極、絕緣圖案及絕緣支撐圖案上。導電介面層位於所述多個下部電極與介電膜之間。導電介面層包括包含至少一種金屬元素的金屬氧化物膜。上部電極與所述多個下部電極相對,導電介面層及介電膜位於上部電極與所述多個下部電極之間。上部電極包含第二金屬。
根據本發明概念的另一態樣,提供一種製造IC裝置的方法。所述方法包括在基板上形成絕緣圖案。在基板上鄰近於絕緣圖案形成包含金屬的電極。在電極的表面上選擇性地形成導電介面層。導電介面層包括包含至少一種金屬元素的金屬氧化物膜。形成與導電介面層及絕緣圖案接觸的介電膜。
根據本發明概念的另一態樣,提供一種製造IC裝置的方法。所述方法包括在基板上形成下部電極及絕緣支撐圖案。下部電極包含第一金屬,且絕緣支撐圖案支撐下部電極。僅在絕緣支撐圖案及下部電極之中的下部電極的表面上選擇性地形成導電介面層。導電介面層包括包含至少一種金屬元素的金屬氧化物膜。藉由向導電介面層供應後處理氣體(post-processing gas)來使導電介面層緻密化。形成與導電介面層及絕緣支撐圖案接觸的介電膜。與下部電極相對地形成包含第二金屬的上部電極,導電介面層及介電膜位於上部電極與下部電極之間。
根據本發明概念的另一態樣,提供一種製造IC裝置的方法。所述方法包括在基板上形成多個下部電極及絕緣支撐圖案。所述多個下部電極包含第一金屬,且絕緣支撐圖案支撐所述多個下部電極。僅在絕緣支撐圖案及所述多個下部電極之中的所述多個下部電極的表面上選擇性地形成導電介面層。導電介面層包括包含至少一種金屬元素的金屬氧化物膜。向導電介面層供應後處理氣體以使導電介面層緻密化。形成與導電介面層及絕緣支撐圖案接觸的介電膜。與所述多個下部電極相對地形成包含第二金屬的上部電極,導電介面層及介電膜位於上部電極與所述多個下部電極之間。形成導電介面層包括藉由向絕緣支撐圖案及所述多個下部電極上供應用於選擇性地抑制沈積的第一預處理氣體,對所述多個下部電極以及絕緣支撐圖案之中的絕緣支撐圖案的表面執行沈積抑制處置(deposition inhibition treatment)。藉由向已被處置以抑制沈積的絕緣支撐圖案以及所述多個下部電極供應包含第一金屬元素的第一前驅物,僅在已被處置以抑制沈積的絕緣支撐圖案以及所述多個下部電極之中的所述多個下部電極的所述表面上選擇性地形成第一前驅物的吸附層。藉由向其中形成有第一前驅物的吸附層的所得結構上供應第一氧化氣體,自第一前驅物的吸附層形成包含第一金屬元素的第一金屬氧化物膜。藉由多次重複進行所述選擇性地形成第一前驅物的吸附層及形成第一金屬氧化物膜,形成與所述多個下部電極接觸的第一介面子層。
在下文中,將參照附圖詳細闡述實施例。在圖式中,使用相同的參考編號來表示相同的元件,且可不再對其予以贅述。
本文中所使用的縮寫「Me」指代甲基,「Et」指代乙基,「Pr」指代丙基,「iPr」指代異丙基,「tBu」指代第三丁基(或1,1-二甲基乙基),「Cp」指代環戊二烯基,「thd」指代2,2,6,6-四甲基庚烷二酮酸酯,且「tAmyl」指代第三戊基(CH 3CH 2C(CH 3) 2-)。本文中所使用的用語「室溫」指代介於約20℃至約28℃的範圍內的溫度,且可相依於季節而變化。
圖1是根據實施例的積體電路(IC)裝置100的主組件的剖視圖。
參照圖1,IC裝置100可包括基板102、形成於基板102上的下部結構120及形成於下部結構120上的電容器CP1。
基板102可包含:半導體元素,例如矽(Si)及鍺(Ge);或者化合物半導體,例如碳化矽(SiC)、砷化鎵(GaAs)、砷化銦(InAs)及磷化銦(InP)。基板102可包括半導體基板以及形成於所述半導體基板上的包括至少一個絕緣膜或至少一個導電區的結構。所述至少一個導電區可包括例如經摻雜阱(doped well)或經摻雜結構。在實例性實施例中,基板102可包括各種裝置隔離結構,例如淺溝槽隔離(shallow trench isolation,STI)結構。
在實例性實施例中,下部結構120可包括絕緣膜。在其他實例性實施例中,下部結構120可包括各種導電區(例如配線層、接觸插塞及電晶體)以及將導電區彼此電性絕緣的絕緣膜。
電容器CP1可包括下部電極LE1、依序堆疊於下部電極LE1上的導電介面層150及介電膜160以及位於介電膜160上(例如,覆蓋介電膜160)的上部電極UE1。因此,導電介面層150位於介電膜160與下部電極LE1之間。
下部電極LE1可包含第一金屬。上部電極UE1可面對下部電極LE1且包含第二金屬,導電介面層150及介電膜160位於上部電極UE1與下部電極LE1之間。在實例性實施例中,第二金屬可為與第一金屬相同的金屬。在其他實例性實施例中,第二金屬可不同於第一金屬。
下部電極LE1及上部電極UE1中的每一者可包括金屬膜、導電金屬氧化物膜、導電金屬氮化物膜、導電金屬氮氧化物膜或其組合。在實例性實施例中,下部電極LE1及上部電極UE1中的每一者可包含鈮(Nb)、氧化鈮、氮化鈮、氮氧化鈮、鈦(Ti)、氧化鈦、氮化鈦、氮氧化鈦、鈷(Co)、氧化鈷、氮化鈷、氮氧化鈷、錫(Sn)、氧化錫、氮化錫、氮氧化錫或其組合。舉例而言,下部電極LE1及上部電極UE1中的每一者可包含NbN、TiN、CoN、SnO 2或其組合。在其他實例性實施例中,下部電極LE1及上部電極UE1中的每一者可包含TaN、TiAlN、TaAlN、V、VN、Mo、MoN、W、WN、Ru、RuO 2、SrRuO 3、Ir、IrO 2、Pt、PtO、SRO(SrRuO 3)、BSRO((Ba,Sr)RuO 3)、CRO(CaRuO 3)、LSCO((La,Sr)CoO 3)或其組合。然而,下部電極LE1及上部電極UE1中的每一者的構成材料不限於此。
導電介面層150可位於下部電極LE1與介電膜160之間,且包括包含至少一種金屬元素(例如,至少一種種類的金屬元素)的金屬氧化物膜。導電介面層150的底表面可與下部電極LE1的頂表面接觸,且導電介面層150的頂表面可與介電膜160的底表面接觸。
導電介面層150可包括單一金屬氧化物膜或多個金屬氧化物膜。導電介面層150中所包含的所述至少一種種類的金屬元素可包括典型的金屬(例如,鹼金屬、鹼土金屬或鋁(Al))、過渡金屬或過渡後金屬(post-transition metal)。在實例性實施例中,所述至少一種種類的金屬元素可選自鋁(Al)、鋯(Zr)、鈮(Nb)、鉬(Mo)、釕(Ru)、銦(In)、錫(Sn)、銻(Sb)、鈧(Sc)、鈦(Ti)、釩(V)、錳(Mn)、鐵(Fe)、鈷(Co)、鎳(Ni)、砷(As)、鉭(Ta)、鎢(W)、銥(Ir)、釔(Y)及鉍(Bi),但不限於此。
導電介面層150中所包括的金屬氧化物膜可包括化學計量金屬氧化物膜或非化學計量金屬氧化物膜。舉例而言,導電介面層150中所包括的金屬氧化物膜可包括選自以下的至少一種金屬氧化物:鋁氧化物(例如,Al 2O 3);鋯氧化物(例如,ZrO 2);鈮氧化物(例如,NbO、NbO 2及Nb 2O 5);鉬氧化物(例如,MoO 2及MoO 3);釕氧化物(例如,RuO 2及RuO 4);銦氧化物(例如,In 2O 3);錫氧化物(例如,Sn、SnO及SnO 2);銻氧化物(例如,Sb 2O 3);鈧氧化物(例如,Sc 2O 3);鈦氧化物(例如,TiO、TiO 2、Ti 2O、Ti 3O、Ti 3O 5及Ti 4O 7);釩氧化物(例如,V 2O 5);錳氧化物(例如,MnO、Mn 3O 4及Mn 2O 3);鐵氧化物(例如,FeO、FeO 2、Fe 3O 4及Fe 2O 3);鈷氧化物(例如,CoO、Co 2O 3及Co 3O 4);鎳氧化物(例如,NiO);砷氧化物(例如,As 2O 3、As 2O 4及As 2O 5);鉭氧化物(例如,Ta 2O 5);鎢氧化物(例如,WO 2、WO 3、W 2O 3及W 2O 5);銥氧化物(例如,IrO 2);釔氧化物(例如,Y 2O 3);鉍氧化物(例如,Bi 2O 3);以及其組合。
在實例性實施例中,導電介面層150可包括包含選自上述金屬元素的一種種類的金屬元素的金屬氧化物膜。在其他實例性實施例中,導電介面層150可包括包含選自上述金屬元素的至少兩種不同的金屬元素(例如,至少兩種種類的金屬元素)的金屬氧化物膜。
導電介面層150的厚度TH1可小於介電膜160的厚度TH2。在實例性實施例中,導電介面層150的厚度TH1可在約1埃至約50埃的範圍內,但不限於此。
介電膜160可包括高介電常數(high-k)介電膜。本文中所使用的用語「高介電常數介電膜」指代介電常數高於氧化矽膜的介電膜。在實例性實施例中,介電膜160可包含金屬氧化物,所述金屬氧化物包含選自鉿(Hf)、鋯(Zr)、鋁(Al)、鈮(Nb)、鈰(Ce)、鑭(La)、鉭(Ta)及鈦(Ti)的至少一種金屬。在實例性實施例中,介電膜160可具有包括一個高介電常數介電膜的單一膜結構。在其他實例性實施例中,介電膜160可具有包括多個高介電常數介電膜的多層式結構。高介電常數介電膜可包含HfO 2、ZrO 2、Al 2O 3、La 2O 3、Ta 2O 3、Nb 2O 5、CeO 2、TiO 2、GeO 2或其組合,但不限於此。在實例性實施例中,介電膜160的厚度TH2可在約20埃至約80埃的範圍內,但不限於此。
圖2是根據實施例的IC裝置100A的主組件的剖視圖。在圖2中,使用相同的參考編號來表示與圖1中相同的元件,且不再對其予以贅述。
參照圖2,IC裝置100A可具有與參照圖1闡述的IC裝置100實質上相同的配置。然而,IC裝置100A可包括導電介面層150A來代替導電介面層150。
導電介面層150A可具有與參照圖1闡述的導電介面層150實質上相同的配置。然而,導電介面層150A可包括單一膜。
在實例性實施例中,導電介面層150A可包括金屬氧化物膜,所述金屬氧化物膜包含選自鋁(Al)、鋯(Zr)、鈮(Nb)、鉬(Mo)、釕(Ru)、銦(In)、錫(Sn)、銻(Sb)、鈧(Sc)、鈦(Ti)、釩(V)、錳(Mn)、鐵(Fe)、鈷(Co)、鎳(Ni)、砷(As)、鉭(Ta)、鎢(W)、銥(Ir)、釔(Y)及鉍(Bi)的至少一種種類的金屬元素。舉例而言,導電介面層150A可包含選自上述金屬元素的兩種或三種金屬元素(例如,兩種或三種種類的金屬元素)。舉例而言,導電介面層150A可包括包含Al、Ti、Nb或其組合的單一金屬氧化物膜。
圖3是根據實施例的IC裝置100B的主組件的剖視圖。在圖3中,使用相同的參考編號來表示與圖1中相同的元件,且不再對其予以贅述。
參照圖3,IC裝置100B可具有與參照圖1闡述的IC裝置100實質上相同的配置。然而,IC裝置100B可包括導電介面層150B來代替導電介面層150。
導電介面層150B可具有與參照圖1闡述的導電介面層150實質上相同的配置。然而,導電介面層150B可包括雙重式(double)(即,兩層式)膜。
導電介面層150B可包括依序覆蓋下部電極LE1的第一介面子層150B1及第二介面子層150B2。因此,第一介面子層150B1位於第二介面子層150B2與下部電極LE1之間。第一介面子層150B1及第二介面子層150B2可包括包含不同的相應金屬元素的相應金屬氧化物膜。在實例性實施例中,第一介面子層150B1及第二介面子層150B2可包括包含不同的相應金屬元素的相應金屬氧化物膜,所述相應金屬元素中的每一者選自鋁(Al)、鋯(Zr)、鈮(Nb)、鉬(Mo)、釕(Ru)、銦(In)、錫(Sn)、銻(Sb)、鈧(Sc)、鈦(Ti)、釩(V)、錳(Mn)、鐵(Fe)、鈷(Co)、鎳(Ni)、砷(As)、鉭(Ta)、鎢(W)、銥(Ir)、釔(Y)及鉍(Bi)。舉例而言,第一介面子層150B1及第二介面子層150B2中的每一者可包括選自以下的金屬氧化物膜:鋁氧化物(例如,Al 2O 3);鋯氧化物(例如,ZrO 2);鈮氧化物(例如,NbO、NbO 2及Nb 2O 5);鉬氧化物(例如,MoO 2及MoO 3);釕氧化物(例如,RuO 2及RuO 4);銦氧化物(例如,In 2O 3);錫氧化物(例如,Sn、SnO及SnO 2);銻氧化物(例如,Sb 2O 3);鈧氧化物(例如,Sc 2O 3);鈦氧化物(例如,TiO、TiO 2、Ti 2O、Ti 3O、Ti 3O 5及Ti 4O 7);釩氧化物(例如,V 2O 5);錳氧化物(例如,MnO、Mn 3O 4及Mn 2O 3);鐵氧化物(例如,FeO、FeO 2、Fe 3O 4及Fe 2O 3);鈷氧化物(例如,CoO、Co 2O 3及Co 3O 4);鎳氧化物(例如,NiO);砷氧化物(例如,As 2O 3、As 2O 4及As 2O 5);鉭氧化物(例如,Ta 2O 5);鎢氧化物(例如,WO 2、WO 3、W 2O 3及W 2O 5);銥氧化物(例如,IrO 2);釔氧化物(例如,Y 2O 3);鉍氧化物(例如,Bi 2O 3);以及其組合。然而,第一介面子層150B1可包含與第二介面子層150B2不同的材料。舉例而言,第一介面子層150B1及第二介面子層150B2中的一者可包括鈦氧化物膜,而其中的另一者可包括鋁氧化物膜或鈮氧化物膜,但不限於此。作為另一實例,第一介面子層150B1可包括鋁氧化物膜或鈮氧化物膜,而第二介面子層150B2可包括鉭氧化物膜。
圖4是根據實施例的IC裝置100C的主組件的剖視圖。在圖4中,使用相同的參考編號來表示與圖1中相同的元件,且不再對其予以贅述。
參照圖4,IC裝置100C可具有與參照圖1闡述的IC裝置100實質上相同的配置。然而,IC裝置100C可包括導電介面層150C來代替導電介面層150。
導電介面層150C可具有與參照圖1闡述的導電介面層150實質上相同的配置。然而,導電介面層150C可包括三重式(triple)(即,三層式)膜。
導電介面層150C可包括依序覆蓋下部電極LE1的第一介面子層150C1、第二介面子層150C2及第三介面子層150C3。因此,第一介面子層150C1位於第二介面子層150C2與下部電極LE1之間,第二介面子層150C2位於第一介面子層150C1與第三介面子層150C3之間,且第三介面子層150C3位於第二介面子層150C2與介電膜160之間。第一介面子層150C1、第二介面子層150C2及第三介面子層150C3中的至少兩者可分別包括具有不同金屬元素的相應金屬氧化物膜。
在實例性實施例中,第一介面子層150C1、第二介面子層150C2及第三介面子層150C3可包括包含不同的相應金屬元素的相應金屬氧化物膜。
在其他實例性實施例中,第一介面子層150C1及第三介面子層150C3可包含第一金屬元素,而第二介面子層150C2可包含不同於第一金屬元素的第二金屬元素。
第一金屬元素及第二金屬元素中的每一者可選自鋁(Al)、鋯(Zr)、鈮(Nb)、鉬(Mo)、釕(Ru)、銦(In)、錫(Sn)、銻(Sb)、鈧(Sc)、鈦(Ti)、釩(V)、錳(Mn)、鐵(Fe)、鈷(Co)、鎳(Ni)、砷(As)、鉭(Ta)、鎢(W)、銥(Ir)、釔(Y)及鉍(Bi)。在實例中,第一介面子層150C1及第三介面子層150C3可包括鈦氧化物膜,而第二介面子層150C2可包括鋁氧化物膜。在另一實例中,第一介面子層150C1及第三介面子層150C3可包括鋁氧化物膜,而第二介面子層150C2可包括鈦氧化物膜。在又一實例中,第一介面子層150C1及第三介面子層150C3可包括鈮氧化物膜,而第二介面子層150C2可包括鉭氧化物膜。
在又一些其他實例性實施例中,第一介面子層150C1、第二介面子層150C2及第三介面子層150C3中的至少一者可具有與參照圖2闡述的導電介面層150A相同的配置。
圖5是根據實施例的IC裝置100D的主組件的剖視圖。在圖5中,使用相同的參考編號來表示與圖1中相同的元件,且不再對其予以贅述。
參照圖5,IC裝置100D可具有與參照圖1闡述的IC裝置100實質上相同的配置。然而,IC裝置100D可包括導電介面層150D來代替導電介面層150。
導電介面層150D可具有與參照圖1闡述的導電介面層150實質上相同的配置。然而,導電介面層150D可包括多層式膜,所述多層式膜包括多個介面子層150D1、150D2、…及150DN。
在實例性實施例中,所述多個介面子層150D1、150D2、…及150DN中的至少一者可具有與參照圖2闡述的導電介面層150A相同的配置。在其他實例性實施例中,所述多個介面子層150D1、150D2、…及150DN中的至少一者可具有與已參照圖3闡述的第一介面子層150B1或第二介面子層150B2相同的配置。在又一些其他實例性實施例中,所述多個介面子層150D1、150D2、…及150DN中的至少一者可具有與已參照圖4闡述的第一介面子層150C1、第二介面子層150C2或第三介面子層150C3相同的配置。在又一些其他實例性實施例中,所述多個介面子層150D1、150D2、…及150DN可具有其中包含第一金屬元素的第一介面子層與包含第二金屬元素的第二介面子層逐個地交替堆疊的結構。第二金屬元素可不同於第一金屬元素。第一金屬元素及第二金屬元素的細節可與參照圖4所述者相同。
在參照圖1至圖5闡述的IC裝置100、100A、100B、100C及100D中,導電介面層150、150A、150B、150C及150D可位於下部電極LE1與介電膜160之間,且因此,可抑制(例如,防止)在下部電極LE1的與介電膜160鄰近的部分中產生耗盡層(depletion layer)。因此,可抑制(例如,防止)在下部電極LE1中產生非期望耗盡層。因此,可抑制在電容器CP1中出現漏電流,可增大電容器CP1的最小電容,且可增大總電容。
在其他實例性實施例中,如在參照圖1至圖5闡述的IC裝置100、100A、100B、100C及100D中,除了位於下部電極LE1與介電膜160之間的導電介面層150、150A、150B、150C及150D以外,根據實施例的IC裝置亦可包括位於介電膜160與上部電極UE1之間的上部導電介面層。上部導電介面層可具有與參照圖1至圖5闡述的導電介面層150、150A、150B、150C及150D實質上相同的結構。
在實例性實施例中,在根據實施例的IC裝置中,包含TiN的下部電極LE1與包含TiN的上部電極UE1之間可存在為TiO/ZAZ/TiO的第一堆疊結構。在其他實例性實施例中,在根據實施例的IC裝置中,包含TiN的下部電極LE1與包含TiN的上部電極UE1之間可存在為TiO/TaO/ZAZ/TiO的第二堆疊結構。在本文中,TiO可指代鈦氧化物膜,TaO可指代鉭氧化物膜,且ZAZ可指代其中堆疊有鋯氧化物膜及鋁氧化物膜的多層式膜。
在又一些其他實例性實施例中,如在參照圖1至圖5闡述的IC裝置100、100A、100B、100C及100D中,在根據實施例的IC裝置中,導電介面層150、150A、150B、150C及150D可位於下部電極LE1與介電膜160之間,且下部電極LE1的上部局部區可包含自導電介面層150、150A、150B、150C及150D擴散的至少一種種類的金屬元素,所述上部局部區對應於自下部電極LE1的與導電介面層150、150A、150B、150C及150D接觸的頂表面朝向下部電極LE1的內部的部分厚度(partial thickness)。在上部局部區中,可以約1原子百分數(原子%)至約50原子%的含量(例如,約5原子%至約35原子%的含量)包含所述至少一種種類的金屬元素,但不限於此。
圖6示出根據實施例的IC裝置200的記憶體胞元陣列區的一些組件的示意平面佈局。
參照圖6,IC裝置200可包括多個主動區AC,所述多個主動區AC在平面上與X方向及Y方向成角度地在側向方向上延伸。多個字元線WL可與所述多個主動區AC相交,且在X方向上彼此平行地延伸。多個位元線BL可位於所述多個字元線WL上,且在與X方向相交的Y方向上彼此平行地延伸。所述多個位元線BL中的每一者可經由直接接觸件DC連接至主動區AC。
所述多個位元線BL中兩個鄰近的位元線之間可形成有多個隱埋式接觸件(buried contact)BC。所述多個隱埋式接觸件BC上可形成有多個導電搭接接墊(conductive landing pad)LP。所述多個導電搭接接墊LP中的每一者的至少一部分可與隱埋式接觸件BC交疊。所述多個導電搭接接墊LP上可形成有多個下部電極LE2,且所述多個下部電極LE2彼此間隔開。所述多個下部電極LE2可經由所述多個隱埋式接觸件BC及所述多個導電搭接接墊LP連接至所述多個主動區AC。
圖7A是圖6中所示IC裝置200的一些組件的平面圖。圖7B是對應於沿圖7A所示線2X-2X'截取的橫截面的一些組件的示意剖視圖。圖7C是圖7B所示區「EX1」的放大剖視圖。圖7D是圖7A中所示IC裝置200的一些其他組件的平面圖。
參照圖7A至圖7D,IC裝置200可包括基板210及形成於基板210上的下部結構220,基板210包括多個主動區AC。多個導電區224可穿過下部結構220且連接至所述多個主動區AC。
基板210可包含:半導體元素,例如Si及Ge;或者化合物半導體,例如SiC、GaAs、InAs及InP。基板210可包括半導體基板以及形成於所述半導體基板上的包括至少一個絕緣膜或至少一個導電區的結構。所述至少一個導電區可包括例如經摻雜阱或經摻雜結構。基板210中可形成有界定所述多個主動區AC的裝置隔離膜212。裝置隔離膜212可包括氧化物膜、氮化物膜或其組合。
在一些實施例中,下部結構220可包括絕緣膜,所述絕緣膜包括矽氧化物膜、矽氮化物膜或其組合。在一些其他實施例中,下部結構220可包括各種導電區(例如配線層、接觸插塞及電晶體)以及將導電區彼此電性絕緣的絕緣膜。所述多個導電區224可包含複晶矽、金屬、導電金屬氮化物、金屬矽化物或其組合。下部結構220可包括參照圖6闡述的所述多個位元線BL。所述多個導電區224中的每一者可包括參照圖6闡述的隱埋式接觸件BC及導電搭接接墊LP。
下部結構220及所述多個導電區224上可存在具有多個開口226H的絕緣圖案226P,且絕緣圖案226P在垂直方向(Z方向)上與所述多個導電區224交疊。絕緣圖案226P可包括氮化矽膜(SiN)、矽碳氮化物膜(SiCN)、矽硼氮化物膜(SiBN)或其組合。本文中所使用的用語「SiN」、「SiCN」及「SiBN」中的每一者指代包括包含於其中的元素的材料,而非指代表示化學計量關係的化學式。
所述多個導電區224上可存在多個電容器CP2。所述多個電容器CP2中的每一者可包括下部電極LE2、依序堆疊於下部電極LE2上的導電介面層250及介電膜260以及位於介電膜260上(例如,覆蓋介電膜260)的上部電極UE2。
絕緣圖案226P可鄰近於多個下部電極LE2中的每一者的下部端部。所述多個下部電極LE2中的每一者可具有柱狀形狀(pillar shape),所述柱狀形狀在垂直方向(Z方向)上自導電區224的頂表面在遠離基板210的方向上穿過絕緣圖案226P的開口226H而伸長。儘管圖7B示出其中所述多個下部電極LE2中的每一者具有柱狀形狀的實例,然而本發明概念不限於此。舉例而言,所述多個下部電極LE2中的每一者可具有杯狀截面結構(cup-shaped sectional structure)或底部部分被封閉的圓柱形截面結構(cylindrical sectional structure)。
所述多個下部電極LE2可由下部絕緣支撐圖案242P及上部絕緣支撐圖案244P支撐。所述多個下部電極LE2可與上部電極UE2相對,導電介面層250及介電膜260位於所述多個下部電極LE2與上部電極UE2之間。
導電介面層250可位於下部電極LE2與介電膜260之間。導電介面層250可共形地覆蓋下部電極LE2的外側壁及最上表面。導電介面層250可不位於下部電極LE2與絕緣圖案226P之間、下部電極LE2與下部絕緣支撐圖案242P之間以及下部電極LE2與上部絕緣支撐圖案244P之間。導電介面層250可不位於絕緣圖案226P與介電膜260之間、下部絕緣支撐圖案242P與介電膜260之間以及上部絕緣支撐圖案244P與介電膜260之間。
介電膜260可位於下部電極LE2、下部絕緣支撐圖案242P及上部絕緣支撐圖案244P上(例如,可覆蓋下部電極LE2、下部絕緣支撐圖案242P及上部絕緣支撐圖案244P)。介電膜260可包括分別與絕緣圖案226P、導電介面層250、下部絕緣支撐圖案242P及上部絕緣支撐圖案244P接觸的部分。介電膜260的面對下部電極LE2的部分可與下部電極LE2間隔開,導電介面層250位於所述部分與下部電極LE2之間。介電膜260可不包括與下部電極LE2接觸的部分。
上部電極UE2可與所述多個下部電極LE2相對,導電介面層250及介電膜260位於上部電極UE2與所述多個下部電極LE2之間。
所述多個下部電極LE2、導電介面層250、介電膜260及上部電極UE2的構成材料可分別與已參照圖1闡述的下部電極LE1、導電介面層150、介電膜160及上部電極UE1的構成材料實質上相同。
如圖7B中所示,下部電極LE2的最上表面可在側向方向(沿圖7B中的X-Y平面的方向)上平坦地延伸而無台階(step)或斷裂(break)。導電介面層250可包括介面頂部部分250T及介面側部分250S。介面頂部部分250T可與下部電極LE2的最上表面接觸,且在側向方向上延伸而無台階或斷裂。介面側部分250S可一體地連接至介面頂部部分250T並與下部電極LE2的外側壁接觸。在側向方向上,介面頂部部分250T的寬度WH1可大於下部電極LE2的最上表面的寬度WH2。
上部絕緣支撐圖案244P可在平行於基板210的側向方向上延伸,同時環繞所述多個下部電極LE2中的每一者的上部端部。上部絕緣支撐圖案244P中可形成有供所述多個下部電極LE2穿過的多個孔244H。形成於上部絕緣支撐圖案244P中的所述多個孔244H中的每一者的內側壁可與下部電極LE2的外側壁接觸。所述多個下部電極LE2中的每一者的頂表面可與上部絕緣支撐圖案244P的頂表面共面。
自基板210至導電介面層250的最上表面的第一垂直距離VL1可大於自基板210至上部絕緣支撐圖案244P的最上表面的第二垂直距離VL2。
下部絕緣支撐圖案242P可在平行於基板210的側向方向上延伸,且在基板210和上部絕緣支撐圖案244P之間與所述多個下部電極LE2的外側壁接觸。下部絕緣支撐圖案242P中可形成有供所述多個下部電極LE2穿過的多個孔242H以及多個下部孔(參照圖14E中的LH)。所述多個下部電極LE2可穿過形成於上部絕緣支撐圖案244P中的所述多個孔244H及形成於下部絕緣支撐圖案242P中的所述多個孔242H,且在垂直方向(Z方向)上延伸。
如圖7A中所示,上部絕緣支撐圖案244P中可形成有多個上部孔UH。圖7A示出一種實例性配置,在所述實例性配置中,所述多個上部孔UH中的每一者的平坦形狀實質上是頂點分別由四個鄰近的下部電極LE2形成的菱形平坦形狀。然而,所述多個上部孔UH中的每一者的平坦形狀不限於圖7A中所示形狀,且可在本發明概念的範圍內對其進行各種修改及改變。具有與所述多個上部孔UH的平坦形狀對應的平坦形狀的多個下部孔LH可形成於下部絕緣支撐圖案242P中。
圖7A示出上部絕緣支撐圖案244P及所述多個下部電極LE2中的每一者的平坦結構,且圖7D示出上部絕緣支撐圖案244P及導電介面層250中的每一者的平坦結構。如圖7A中所示,所述多個下部電極LE2可包括朝向上部孔UH的中心突出至第一點P1的部分。如圖7D中所示,導電介面層250可包括朝向上部孔UH的中心突出至較第一點P1更靠近上部孔UH的中心的第二點P2的部分。
下部絕緣支撐圖案242P及上部絕緣支撐圖案244P中的每一者可包括氮化矽膜(SiN)、碳氮化矽膜(SiCN)、硼氮化矽膜(SiBN)或其組合。在實例性實施例中,下部絕緣支撐圖案242P可包含與上部絕緣支撐圖案244P相同的材料。在其他實例性實施例中,下部絕緣支撐圖案242P可包含與上部絕緣支撐圖案244P不同的材料。在實例中,下部絕緣支撐圖案242P及上部絕緣支撐圖案244P中的每一者可包含SiCN。在另一實例中,下部絕緣支撐圖案242P可包含SiCN,而上部絕緣支撐圖案244P可包含SiBN。然而,本發明概念不限於上述材料。
圖8是根據實施例的IC裝置200A的主組件的剖視圖。在圖8中,使用相同的參考編號來表示與圖7A至圖7D中相同的元件,且不再對其予以贅述。圖8示出與圖7B所示區「EX1」對應的區的放大橫截面配置。
參照圖8,IC裝置200A可具有與參照圖7A至圖7D闡述的IC裝置200實質上相同的配置。然而,IC裝置200A可包括包含導電介面層250A的電容器CP2A來代替導電介面層250。
導電介面層250A可具有與參照圖7A至圖7D闡述的導電介面層250實質上相同的配置。然而,導電介面層250A可包括單一膜。導電介面層250A的構成材料的細節可與已參照圖2闡述的導電介面層150A的材料相同。
圖9是根據實施例的IC裝置200B的主組件的剖視圖。在圖9中,使用相同的參考編號來表示與圖7A至圖7D中相同的元件,且不再對其予以贅述。圖9示出與圖7B所示區「EX1」對應的區的放大橫截面配置。
參照圖9,IC裝置200B可具有與參照圖7A至圖7D闡述的IC裝置200實質上相同的配置。然而,IC裝置200B可包括包含導電介面層250B的電容器CP2B來代替導電介面層250。
導電介面層250B可具有與參照圖7A至圖7D闡述的導電介面層250實質上相同的配置。然而,導電介面層250B可包括雙重式(即,兩層式)膜。導電介面層250B可包括依序覆蓋下部電極LE2的第一介面子層250B1及第二介面子層250B2。第一介面子層250B1及第二介面子層250B2可包括包含不同的相應金屬元素的相應金屬氧化物膜。第一介面子層250B1及第二介面子層250B2的構成材料的詳細組成物可與已參照圖3闡述的第一介面子層150B1及第二介面子層150B2的構成材料的詳細組成物相同。
圖10是根據實施例的IC裝置200C的主組件的剖視圖。在圖10中,使用相同的參考編號來表示與圖7A至圖7D中相同的元件,且不再對其予以贅述。圖10示出與圖7B所示區「EX1」對應的區的放大橫截面配置。
參照圖10,IC裝置200C可具有與參照圖7A至圖7D闡述的IC裝置200實質上相同的配置。然而,IC裝置200C可包括包含導電介面層250C的電容器CP2C來代替導電介面層250。
導電介面層250C可具有與參照圖7A至圖7D闡述的導電介面層250實質上相同的配置。然而,導電介面層250C可包括三重式(即,三層式)膜。導電介面層250C可包括依序覆蓋下部電極LE2的第一介面子層250C1、第二介面子層250C2及第三介面子層250C3。第一介面子層250C1、第二介面子層250C2及第三介面子層250C3中的至少兩者可包括包含不同的相應金屬元素的相應金屬氧化物膜。在實例性實施例中,第一介面子層250C1、第二介面子層250C2及第三介面子層250C3中兩個鄰近的介面子層可包含不同的相應金屬元素。舉例而言,第一介面子層250C1及第三介面子層250C3可包含第一金屬元素,而第二介面子層250C2可包含不同於第一金屬元素的第二金屬元素。在其他實例性實施例中,第一介面子層250C1、第二介面子層250C2及第三介面子層250C3可分別包括包含不同金屬元素的相應金屬氧化物膜。
第一介面子層250C1、第二介面子層250C2及第三介面子層250C3的構成材料的詳細組成物可與已參照圖4闡述的第一介面子層150C1、第二介面子層150C2及第三介面子層150C3的構成材料的詳細組成物相同。
在參照圖6至圖10闡述的IC裝置200、200A、200B、200C中,導電介面層250、250A、250B及250C可位於所述多個下部電極LE2與介電膜260之間,且因此,可抑制(例如,防止)在所述多個下部電極LE2的與介電膜260鄰近的部分中產生耗盡層。因此,可抑制(例如,防止)在所述多個下部電極LE2中產生非期望耗盡層,且因此,可抑制在電容器CP2、CP2A、CP2B及CP2C中產生漏電流。另外,相較於不具有導電介面層250、250A、250B及250C的傳統電容器而言,可在相同水準的漏電流下獲得具有相對小的等效氧化物膜厚度的電容器CP2、CP2A、CP2B及CP2C,電容器CP2、CP2A、CP2B及CP2C的最小電容可增大,且總電容可增大。
圖11是根據實施例的製造IC裝置的方法的流程圖。現將參照圖11及圖7B闡述根據實例性實施例的製造IC裝置的方法。在本實例性實施例中,為了形成圖7B中所示導電介面層250,可使用區域選擇性沈積(area selective deposition,ASD)製程。
在圖11所示製程P310中,可在基板210上形成其中絕緣圖案226P及下部電極LE2被暴露出的結構。此後,可向上面暴露出絕緣圖案226P及下部電極LE2的基板210上供應用於選擇性地抑制沈積的預處理氣體,且因此,可對下部電極LE2及絕緣圖案226P之中的絕緣圖案226P的表面執行沈積抑制處置。作為結果,當在後續的製程P330中形成導電介面層250時,如已在製程P310中被處置以抑制沈積的絕緣圖案226P的表面可能與被供應以形成導電介面層250的包含金屬元素的前驅物不具有化學親和力(chemical affinity)或具有非常低的化學親和力,而下部電極LE2的表面可能與所述前驅物具有相對高的親和力。
預處理氣體可包括H 2、N 2、Ar、O 2、O 3、H 2O、NH 3、含矽(Si)有機化合物、含磷(P)有機化合物、含硫(S)有機化合物、含鹵族元素有機化合物、含氮(N)有機化合物、含羥基有機化合物、有機胺基矽烷或其組合,但不限於此。
在實例性實施例中,預處理氣體可具有由式1表示的結構:
[式1]
X 1-X 2-X 3
其中X 1表示-Si(OCH 3) 3、-Si-(OCH 3) 2、-Si(OCH 2CH 3) 3、-COOH、-SOOH、-POOOH、-SiCl(CH 3) 2、-SiCl 2CH 3、-SiCl 3、-SiI 3、-Si(OH)、-SiCl、-SO 3H 2、-COCl、-PO 3H、-SO 2Cl、-OPOCl 2或-POCl 2,X 2表示-O-、經F取代或未經F取代的C1-C20伸烷基或者含C3-C20飽和或不飽和烴環的基團,且X 3表示-H、-OH、-NO 2、-NH 2、-SH、-CH 3、-CF、-Cl或-C 6H 5
在其他實例性實施例中,預處理氣體可包括有機胺基矽烷。舉例而言,有機胺基矽烷可選自二甲基胺基三甲基矽烷(dimethylaminotrimethylsilane,DMATMS)(DMATMS,(CH 3) 2-N-Si-(CH 3) 3)、雙(二甲基胺基)二甲基矽烷(bis(dimethylamino)dimethylsilane,BDMADMS)、雙(二甲基胺基)苯基甲基矽烷、三(二甲基胺基)甲基矽烷、3-胺基丙基三乙氧基矽烷、N,N-二甲基-3-胺基丙基三乙氧基矽烷、N-苯基胺基丙基三甲氧基矽烷、三乙氧基矽烷基丙基乙二胺、三甲氧基矽烷基丙基乙二胺、三甲氧基矽烷基丙基二伸乙基三胺、N-胺基乙基-3-胺基丙基三甲氧基矽烷、N-2-胺基乙基-3-胺基丙基三甲氧基矽烷、N-2-胺基乙基-3-胺基丙基三(乙基乙氧基)矽烷、對胺基苯基三甲氧基矽烷、N,N'-二甲基-3-胺基丙基三乙氧基矽烷、3-胺基丙基甲基二乙氧基矽烷、3-胺基丙基三甲氧基矽烷、N-甲基胺基丙基三乙氧基矽烷、甲基[2-(3-三甲氧基矽烷基丙基胺基)乙基胺基]-3-丙酸酯、(N,N'-二甲基3-胺基)丙基三乙氧基矽烷、N,N-二甲基胺基苯基三乙氧基矽烷、三甲氧基矽烷基丙基二伸乙基三胺、SiI 2H(NH 2)、SiI 2H(NHMe)、SiI 2H(NHEt)、SiI 2H(NMe 2)、SiI 2H(NMeEt)、SiI 2H(NEt 2)、SiI 2(NH 2) 2、SiI 2(NHMe) 2、SiI 2(NHEt) 2、SiI 2(NMe 2) 2、SiI 2(NMeEt) 2及SiI 2(NEt 2) 2以及其組合,但不限於此。
當供應預處理氣體時,可維持在室溫至約500℃的範圍內選擇的製程溫度。
藉由向上面暴露出絕緣圖案226P及下部電極LE2的基板210上供應用於選擇性地抑制沈積的預處理氣體,可自絕緣圖案226P的被暴露出的表面移除反應性官能基,且絕緣圖案226P的被暴露出的表面可維持於穩定化狀態(stabilized state)。
在圖11所示製程P320中,可向其中被處置以抑制沈積的絕緣圖案226P的表面以及下部電極LE2的表面被暴露出的所得結構上供應吹掃氣體(purge gas),且因此,可移除基板210上的不必要的副產物。在此種情形中,可移除預處理氣體的餘留於基板210上的殘留物。舉例而言,可使用例如氬(Ar)、氦(He)及氖(Ne)等惰性氣體或者氮(N 2)氣體作為所述吹掃氣體。
在圖11所示製程P330中,可僅在絕緣圖案226P及下部電極LE2之中的下部電極LE2的表面上選擇性地形成包括金屬氧化物膜的導電介面層250,所述金屬氧化物膜包含至少一種種類的金屬元素。
在實例性實施例中,導電介面層250中所包含的金屬元素可為與下部電極LE2中所包含的金屬不同的材料。在其他實例性實施例中,導電介面層250中所包含的金屬元素可為與下部電極LE2中所包含的金屬相同的材料。
在圖11所示製程P340中,可藉由向導電介面層250供應後處理氣體來使導電介面層250緻密化。
在藉由供應後處理氣體來使導電介面層250緻密化的同時,可移除餘留於基板210上的雜質或餘留於導電介面層250中的雜質。
在實例性實施例中,後處理氣體可包含與預處理氣體不同的材料。舉例而言,後處理氣體可選自H 2、N 2、Ar、O 2、O 3、H 2O、NH 3及其組合。當供應後處理氣體時,可維持在室溫至約500℃的範圍內選擇的製程溫度。
在圖11所示製程P350中,可在絕緣圖案226P及導電介面層250上形成介電膜260。
在圖11所示製程P360中,可在介電膜260上形成上部電極UE2。在實例性實施例中,導電介面層250中所包含的金屬元素可包括與上部電極UE2中所包含的金屬不同的材料。在其他實例性實施例中,導電介面層250中所包含的金屬元素可包括與上部電極UE2中所包含的金屬相同的材料。
圖12是根據實例性實施例的基於圖11所示製程P330來形成導電介面層的方法的流程圖。
在圖12所示製程P332A中,可選擇用於形成導電介面層的前驅物。
所述前驅物可包括包含至少一種金屬元素的至少一種前驅物化合物,所述至少一種金屬元素包括典型的金屬、過渡金屬或過渡後金屬。在實例性實施例中,前驅物可包括中心原子及鍵合至中心原子的至少一個配體(ligand),所述中心原子包括選自鋁(Al)、鋯(Zr)、鈮(Nb)、鉬(Mo)、釕(Ru)、銦(In)、錫(Sn)、銻(Sb)、鈧(Sc)、鈦(Ti)、釩(V)、錳(Mn)、鐵(Fe)、鈷(Co)、鎳(Ni)、砷(As)、鉭(Ta)、鎢(W)、銥(Ir)、釔(Y)及鉍(Bi)的至少一種種類的金屬元素。配體可包括C5-C12環戊二烯基、C1-C10飽和或不飽和烴基、C1-C10有機胺基、C5-C20 β-二酮根基、C5-C20 β-酮亞胺根基、C5-C20 β-二亞胺根基或鹵族元素,但不限於此。
在實例性實施例中,前驅物可具有由式2表示的結構:
[式2]
M(L 1)m(L 2)n
其中M表示典型的金屬、過渡金屬或過渡後金屬,L 1表示氫原子、鹵素原子、C1-C10烷基、C1-C10烷氧基、C2-C10烯基、C2-C10炔基、C6-C12芳基、C6-C15芳基烷基、C3-C15烯丙基、C3-C15環烷基、C3-C15環烯基、C4-C15二烯基、C1-C10烷基胺基、醯胺基、亞胺基、C1-C10硫醇基、C5-C12環戊二烯基、芳烴(η6-C 6H 6)基或C1-C10硫基(thiyl group),L 2表示CO、H 2O、C1-C10烷基胺、醚酮、膦、硫醚或吡啶,m是介於2至8的範圍內的整數,且n是介於0至6的範圍內的整數。在式2中,L 2可為雜原子(例如,氮(N)、氧(O)、磷(P)及硫(S))或經由鹵化物與M配位鍵合的中性配體。
當金屬元素是鋁(Al)時,鋁前驅物可包括三甲基鋁、三乙基鋁、三氯化鋁(AlCl 3)甲醇鋁、乙醇鋁、硝酸鋁九水合物、氟化鋁三水合物、磷酸鋁水合物、氯化鋁六水合物、氫氧化鋁、硫酸鋁十六水合物、硫酸鋁銨十二水合物、氯化1-乙基-3-甲基咪唑-氯化鋁、三乙醇胺鋁(alumatrane)、2-乙基己酸鋁、2,3-萘酞菁氯化鋁、2,9,16,23-四(苯基硫基)-29H,31H酞菁氯化鋁、乙醯酒石酸鋁、乙醯丙酮酸鋁、異丙醇鋁鈣、二甲基異丙醇鋁或其衍生物,但不限於此。
當金屬元素是鋯(Zr)時,鋯前驅物可包括四(乙基甲基胺基)鋯(tetrakis(ethylmethylamino)zirconium,TEMAZ)、三(二甲基胺基)環戊二烯基鋯、(C 5H 5)Zr[N(CH 3) 2] 3、Zr(i-OPr) 4、Zr(TMHD)(i-OPr) 3、Zr(TMHD) 2(i-OPr) 2、Zr(TMHD) 4、Zr(DMAE) 4、Zr(METHD) 4(此處,i-OPr =異丙醇鹽;TMHD = 2,2,6,6-四甲基-3,5-庚烷二酮酸酯;DMAE =二甲基胺基乙氧化物;METHD =甲氧基乙氧基四甲基庚烷二酮酸酯)或其衍生物,但不限於此。當金屬元素是鈮(Nb)時,鈮前驅物可包括五氯化鈮(NbCl 5)、五氟化鈮(NbF 5)、五二甲基胺基鈮(pentakisdimethylaminoniobium,PDMAN)(PDMAN,Nb(N(CH 3) 2) 5)、五二乙基胺基鈮(pentakisdiethylaminoniobium,PDEAN)(PDEAN,Nb(NEt 2) 5)、五(甲基乙基醯胺基)鈮(pentakis(methylethylamido)niobium,PMEAN)(PMEAN,Nb(NMeEt) 5)、第三丁基亞胺基三(二甲基胺基)鈮(tert-butyliminotris(dimethylamino)niobium,TBTDMN)(TBTDMN,tBuNNb(NMe 2) 3)、第三丁基亞胺基三(二乙基胺基)鈮(tert-butyliminotris(diethylamino)niobium,TBTDEN)(TBTDEN,tBuNNb(NEt 2) 3)、第三丁基亞胺基三(甲基乙基胺基)鈮(tert-butyliminotris(methylethylamino)niobium,TBTMEN)(TBTMEN,tBuNNb(NMeEt) 3)、乙基亞胺基-三(二甲基醯胺基)鈮((EtN)Nb(NMe 2) 3)、乙基亞胺基-三(二乙基醯胺基)鈮((EtN)Nb(NEt 2) 3)、乙基亞胺基-三(乙基甲基醯胺基)鈮((EtN)Nb[N(Et)Me] 3)、第三戊基亞胺基-三(二甲基醯胺基)鈮(tert-amylimido-tris(dimethylamido)niobium,NBIMANB)(NBIMANB,(tAmylN)Nb(NMe 2) 3)、第三戊基亞胺基-三(二乙基醯胺基)鈮(tert-amylimido-tris(diethylamido)niobium,NBIEANB)(NBIEANB,(tAmylN)Nb(NEt 2) 3)、第三戊基亞胺基-三(乙基甲基醯胺基)鈮(tert-amylimido-tris(ethylmethylamido)niobium,NBIMANB)(NBIMANB,(tAmylN)Nb([N(Et)Me] 3)或其衍生物,但不限於此。
當金屬元素是鉬(Mo)時,鉬前驅物可包括(CpR) 2Mo(NR')(此處,R及R'中的每一者是H或C1-C10烷基)或鹵化鉬,但不限於此。
當金屬元素是釕(Ru)時,釕前驅物可包括(C 6H 8)Ru(CO 3)、(C 7H 10)Ru(CO) 3、(異丙基甲苯)(1,3-環己二烯)Ru(0)、(異丙基甲苯)(1,4-環己二烯)Ru(0)、(異丙基甲苯)(1-甲基環己基-1,3-二烯)Ru(0)、(異丙基甲苯)(2-甲基環己基-1,3-二烯)Ru(0)、(異丙基甲苯)(3-甲基環己基-1,3-二烯)Ru(0)、(異丙基甲苯)(4-甲基環己基-1,3-二烯)Ru(0)、(異丙基甲苯)(5-甲基環己基-1,3-二烯)Ru(0)、(異丙基甲苯)(6-甲基環己基-1,3-二烯)Ru(0)、(異丙基甲苯)(1-甲基環己基-1,4-二烯)Ru(0)、(異丙基甲苯)(2-甲基環己基-1,4-二烯)Ru(0)、(異丙基甲苯)(3-甲基環己基-1,4-二烯)Ru(0)、(異丙基甲苯)(4-甲基環己基-1,4-二烯)Ru(0)、(異丙基甲苯)(5-甲基環己基-1,4-二烯)Ru(0)、(異丙基甲苯)(6-甲基環己基-1,4-二烯)Ru(0)、(苯)(1,3-環己二烯)Ru(0)、(甲苯)(1,3-環己二烯)Ru(0)、(乙基苯)(1,3-環己二烯)Ru(0)、(1,2-二甲苯)(1,3-環己二烯)Ru(0)、(1,3-二甲苯)(1,3-環己二烯)Ru(0)、(1,4-二甲苯)(1,3-環己二烯)Ru(0)、(對異丙基甲苯)(1,3-環己二烯)Ru(0)、(鄰異丙基甲苯)(1,3-環己二烯)Ru(0)、(間異丙基甲苯)(1,3-環己二烯)Ru(0)、(枯烯)(1,3-環己二烯)Ru(0)、(正丙基苯)(1,3-環己二烯)Ru(0)、(間乙基甲苯)(1,3-環己二烯)Ru(0)、(對乙基甲苯)(1,3-環己二烯)Ru(0)、(鄰乙基甲苯)(1,3-環己二烯)Ru(0)、(1,3,5-三甲基苯)(1,3-環己二烯)Ru(0)、(1,2,3-三甲基苯)(1,3-環己二烯)Ru(0)、(第三丁基苯)(1,3-環己二烯)Ru(0)、(異丁基苯)(1,3-環己二烯)Ru(0)、(第二丁基苯)(1,3-環己二烯)Ru(0)、(二氫茚)(1,3-環己二烯)Ru(0)、(1,2-二乙基苯)(1,3-環己二烯)Ru(0)、(1,3-二乙基苯)(1,3-環己二烯)Ru(0)、(1,4-二乙基苯)(1,3-環己二烯)Ru(0)、(1-甲基-4-丙基苯)(1,3-環己二烯)Ru(0)、(1,4-二甲基-2-乙基苯)(1,3-環己二烯)Ru(0)或其衍生物,但不限於此。
當金屬元素是銦(In)時,銦前驅物可包括乙酸銦(In(CH 3COO) 3)、三氯化銦(InCl 3)、三溴化銦(InBr 3)、硝酸銦(In(NO 3) 3)、硫酸銦(In 2(SO 4) 3)、氫氧化銦(In(OH) 3)或其衍生物,但不限於此。
當金屬元素是錫(Sn)時,錫前驅物可包括R 2Sn(NR'R") 2(此處,R、R'及R''中的每一者是H或C1-C10烷基)、鹵化錫或其衍生物,但不限於此。
當金屬元素是銻(Sb)時,銻前驅物可包括SbCl 3、SbBr 3、SbI 3、Sb(OC 2H 5) 3、Sb(OC 3H 7) 3、Sb(OC 4H 9) 3或其衍生物,但不限於此。
當金屬元素是鈧(Sc)時,鈧前驅物可包括Sc(MeCp) 2(Me 2pz)(MeCp =甲基環戊二烯基,Me 2pz = 3,5-二甲基吡唑特(3,5-dimethylpyrazolate))、Cp 3Sc、Sc(THD) 3(THD = 2,2,6,6-四甲基-3,4-庚烷二酮酸)、Sc(i-OPr) 3(i-OPr =異丙醇鹽)或其衍生物,但不限於此。當金屬元素是鈦(Ti)時,鈦前驅物可包括四氯化鈦(TiCl 4)、四氟化鈦(TiF 4)、四(異丙醇)鈦(Ti(O-iPr) 4)、環戊二烯基鈦、雙(異丙醇)鈦雙(2,2,6,6-四甲基-3,5-庚烷二酮酸酯)(Ti(O-iPr) 2(thd) 2)、四二甲基胺基鈦(tetrakisdimethylaminotitanium,TDMAT)(TDMAT,Ti(NMe 2) 4)、四(二乙基胺基)鈦(tetrakis(diethylamino)titanium,TEMAT)(TEMAT,(Et 2N) 4Ti)、三甲氧基(五甲基環戊二烯基)鈦((Cp *)Ti(OMe) 3)或其衍生物,但不限於此。
當金屬元素是釩(V)時,釩前驅物可包括四氯化釩(VCl 4)、五氯化釩(VCl 5)、五氟化釩(VF 5)、四二甲基胺基釩(tetrakisdimethylaminovanadium,TDMAV)(TDMAV,V(N(CH 3) 2) 4)、四二乙基胺基釩(tetrakisdiethylaminovanadium,TDEAV)(TDEAV,V(NEt 2) 4)、四(甲基乙基醯胺基)釩(tetrakis(methylethylamido)vanadium,TMEAV)(TMEAV,V(NMeEt) 4)、雙(環戊二烯基)釩(Cp 2V)、雙(環戊二烯基)二氯釩(Cp 2VCl 2)或其衍生物,但不限於此。
當金屬元素是錳(Mn)時,錳前驅物可包括Mn(thd) 3、(thd = 2,2,6,6-四甲基-3,5-庚烷二酮酸酯)、草酸錳、MnCp 2、Mn(Me 4Cp) 2、Mn(EtCp) 2、Mn(btsa) 2(btsa =雙(三甲基矽烷基)醯胺)、雙(醯胺基胺基烷烴)錳或其衍生物,但不限於此。
當金屬元素是鐵(Fe)時,鐵前驅物可包括FeCl 3、Fe(NO 3) 3、Fe(CO) 5、Fe(NO 3) 2、Fe(SO 4) 3、Fe(acac) 3(乙醯丙酮鐵(III))或其衍生物,但不限於此。
當金屬元素是鈷(Co)時,鈷前驅物可包括八羰基二鈷、亞硝醯鈷錯合物、鈷(II)與鈷(III)的β-二酮酯或者其衍生物,但不限於此。
當金屬元素是鎳(Ni)時,鎳前驅物可包括NiSO 4·6H 2O、NiCl 2·6H 2O、Ni(EtCp) 2、Ni(CO) 4、Ni(MeCp) 2、Ni(EtCp) 2、雙(異丙基環戊二烯基)鎳(Ni(iPrCp) 2)、雙[1-二甲基胺基-2-甲基-2-丁氧基]鎳(II)(雙[1-二甲基胺基-2-甲基-2-丁氧基]鎳(II))或其衍生物,但不限於此。
當金屬元素是砷(As)時,砷前驅物可包括As(OCH 3) 3、As(OC 2H 5) 3、As(OC 3H 7) 3、As(OC 4H 9) 3或其衍生物,但不限於此。
當金屬元素是鉭(Ta)時,鉭前驅物可包括五氯化鉭(TaCl 5)、五氟化鉭(TaF 5)、五二甲基胺基鉭(pentakisdimethylaminotantalum,TADMA)(TADMA,Ta(NMe 2) 5)、五二乙基胺基鉭(pentakisdiethylaminotantalum,PDEAT)(PDEAT,Ta(NEt 2) 5)、五(甲基乙基醯胺基)鉭(pentakis(methylethylamido)tantalum,PMEAT)(PMEAT,Ta(NMeEt) 5)、第三丁基亞胺基三(二甲基胺基)鉭(tert-butyliminotris(dimethylamino)tantalum,TBTDMT)(TBTDMT,tBuNTa(NMe 2) 3)、第三丁基亞胺基三(二乙基胺基)鉭(tert-butyliminotris(diethylamino)tantalum,TBTDET)(TBTDET,tBuNTa(NEt 2) 3)、第三丁基亞胺基三(甲基乙基胺基)鉭(tert-butyliminotris(methylethylamino)tantalum,TBTMET)(TBTMET,tBuNTa(NMeEt) 3)、乙基亞胺基-三(二甲基醯胺基)鉭((EtN)Ta(NMe 2) 3)、乙基亞胺基-三(二乙基醯胺基)鉭((EtN)Ta(NEt 2) 3)、乙基亞胺基-三(乙基甲基醯胺基)鉭((EtN)Ta[N(Et)Me] 3)、第三戊基亞胺基-三(二甲基胺基)鉭(tert-amylimido-tris(dimethylamido)tantalum,TAIMATA)(TAIMATA,(tAmylN)Ta(NMe 2) 3)、第三戊基亞胺基-三(二乙基醯胺基)鉭(tert-amylimido-tris(diethylamido)tantalum,TAIEATA)(TAIEATA,(tAmylN)Ta(NEt 2) 3)、第三戊基亞胺基-三(乙基甲基醯胺基)鉭(tert-amylimido-tris(ethylmethylamido)tantalum,TAIMATA)(TAIMATA,(tAmylN)Ta([N(Et)Me] 3)或其衍生物,但不限於此。
當金屬元素是鎢(W)時,鎢前驅物可包括雙(第三丁基亞胺基)雙(第三丁基醯胺基)鎢((tBuN) 2W(N(H)tBu) 2)、雙(第三丁基亞胺基)雙(二甲基醯胺基)鎢((tBuN) 2W(NMe 2) 2)、雙(第三丁基亞胺基)雙(二乙基醯胺基)鎢((tBuN) 2W(NEt 2) 2)、雙(第三丁基亞胺基)雙(乙基甲基醯胺基)鎢((tBuN) 2W(NEtMe) 2)、六氟化鎢、六氯化鎢或其衍生物,但不限於此。
當金屬元素是銥(Ir)時,銥前驅物可包括乙醯丙酮銥、硝酸銥、氯化銥、硫酸銥、乙酸銥、氰酸銥、異丙基氧化銥、丁醇銥、H 2IrCl 6·6H 2O或其衍生物,但不限於此。
當金屬元素是釔時,釔前驅物可包括氯化釔、硝酸釔、乙醯丙酮釔水合物、氟化釔、乙酸釔、硫酸釔、Y(thd) 3、Y(CH 3Cp) 3或其衍生物,但不限於此。
當金屬元素是鉍(Bi)時,鉍前驅物可包括三(1-甲氧基-2-甲基-2-丙氧基)鉍(Bi(MMP) 3)、Bi(phen) 3(此處,phen =苯基)、BiCl 3或其衍生物,但不限於此。包含所述金屬元素的前驅物不限於上述實例,且可選自已知的前驅物。在實例性實施例中,包含所述金屬元素的前驅物在室溫下可為液體。
在圖12所示製程P332B中,可向基板210上供應前驅物。
在實例性實施例中,可在維持約100℃至約600℃的製程溫度的同時向基板210上供應包含所述金屬元素的前驅物。
在實例性實施例中,在根據參照圖12闡述的方法來形成導電介面層的沈積製程期間,製程壓力可維持於約10帕至大氣壓的範圍內。在實例性實施例中,為了形成導電介面層,可向基板210上僅供應包含所述金屬元素的前驅物。在其他實例性實施例中,為了形成導電介面層,可向基板210上供應多組分源(multi-component source),所述多組分源包括包含所述金屬元素的前驅物與包含不同於上述金屬元素的金屬元素的前驅物、反應氣體及有機溶劑中的至少一者的混合物。向反應空間中供應一次上述供應氣體的時間週期可維持約0.1秒至約100秒。可向基板210上同時供應或依序供應前驅物與反應氣體。
藉由基於圖12所示製程P332B來向基板210上供應前驅物,可在下部電極LE2的表面上形成前驅物的化學吸附層(chemisorbed layer)及物理吸附層(physisorbed layer)。
在圖12所示製程P332C中,可藉由向基板210上供應吹掃氣體來移除餘留於基板210上的不必要的副產物。在此種情形中,可移除前驅物的餘留於基板210上的物理吸附層。舉例而言,可使用例如Ar、He及Ne等惰性氣體或N 2氣體作為所述吹掃氣體。
在圖12所示製程P332D中,可向基板210上供應氧化氣體。作為結果,可自在製程P332B中形成的前驅物的化學吸附層形成單原子水準的金屬氧化物膜。金屬氧化物膜的具體實例可與已參照圖1闡述的導電介面層150中所包括的金屬氧化物膜的具體實例相同。
氧化氣體可選自O 2、O 3、H 2O、NO 2、NO、一氧化二氮(N 2O)、CO、CO 2、H 2O 2、HCOOH、CH 3COOH、(CH 3CO) 2O、醇類、過氧化物、氧化硫、電漿O 2、遠程電漿O 2、電漿N 2O、電漿H 2O及其組合。
在圖12所示製程P332E中,可藉由向基板210上供應吹掃氣體來移除餘留於基板210上的不必要的副產物。在此種情形中,可移除氧化氣體的餘留於基板210上的殘留物。舉例而言,可使用例如Ar、He及Ne等惰性氣體或者N 2氣體作為吹掃氣體。
在圖12所示製程P332F中,可判斷導電介面層是否已形成至目標厚度,且可將包括製程P332B至P332E的沈積循環重複多次,直至導電介面層的厚度達到目標厚度為止。當在製程P332F中確定出導電介面層具有目標厚度時,所述方法可繼續進行至圖11所示製程P340。
在實例性實施例中,可使用參照圖12闡述的方法來形成圖7B中所示導電介面層250或圖8中所示導電介面層250A。
圖13A是根據實例性實施例的基於圖11所示製程P330來形成導電介面層的方法的流程圖。
可使用參照圖12闡述的方法來執行圖13A所示製程P332A至P332E,且因此,可形成包含第一金屬元素的導電介面子層。
在圖13A所示製程P334A中,可判斷導電介面子層是否已形成至目標厚度,且可將包括製程P332B至P332E的沈積循環重複多次,直至導電介面子層的厚度達到目標厚度為止。
當在製程P334A中確定出導電介面子層形成至目標厚度時,可在製程P334B中選擇新的前驅物。新的前驅物可不同於先前的前驅物。新的前驅物可具有與在圖12所示製程P332A中選擇/使用的前驅物的結構實質上相似的結構。然而,新的前驅物可包含與先前的前驅物中所包含的金屬元素為不同種類的金屬元素。
在圖13A所示製程P332F中,可判斷欲形成的最終導電介面層是否已形成至目標厚度。當最終的導電介面層不具有目標厚度時,可使用新的前驅物將包括圖13A所示製程P332B至P334B的沈積循環重複多次,且因此,可在先前形成的導電介面子層上形成新的導電介面子層。
在圖13A所示製程P332F中,可將包括圖13A所示製程P332B至P334B的沈積循環重複多次,直至確定出最終的導電介面層具有目標厚度為止。當在製程P332F中確定出最終的導電介面層具有目標厚度時,所述方法可繼續進行至圖11所示製程P340。
在實例性實施例中,可使用參照圖13A闡述的方法來形成圖9中所示導電介面層250B、圖10中所示導電介面層250C或圖5中所示導電介面層150D。
圖13B是根據實例性實施例的基於圖11所示製程P330來形成導電介面層的方法的流程圖。
圖13B中所示形成導電介面層的製程可與參照圖13A闡述的製程實質上相同。然而,在圖13B中所示形成導電介面層的製程中,當在製程P334A中確定出導電介面子層尚未形成至目標厚度時,在再次重複包括製程P332B至P332E的沈積循環之前,可在製程P336中進一步執行藉由向基板210上供應預處理氣體來選擇性地對絕緣圖案226P的表面執行沈積抑制處置的製程。藉由進一步執行根據製程P336的供應預處理氣體的製程,當在製程P332B中向基板210上供應前驅物時,可進一步加強前驅物僅在絕緣圖案226P及下部電極LE2之中的下部電極LE2的表面上的選擇性沈積。
在實例性實施例中,可使用參照圖13B闡述的方法來形成圖9中所示導電介面層250B、圖10中所示導電介面層250C或圖5中所示導電介面層150D。
圖13C是根據實例性實施例的基於圖11所示製程P330來形成導電介面層的方法的流程圖。
圖13C中所示形成導電介面層的製程可與參照圖13A闡述的製程實質上相同。然而,在圖13C中所示形成導電介面層的製程中,在藉由將製程P332A至P334A執行至少一次而形成一個介面子層之後,當在製程P332F中確定出最終的導電介面層尚未形成至目標厚度時,在再次重複包括製程P332B至P332E的沈積循環以在所述一個介面子層上形成新的介面子層之前,可在製程P338中進一步執行藉由向基板210上供應預處理氣體來選擇性地對絕緣圖案226P的表面執行沈積抑制處置的製程。藉由根據製程P338進一步執行供應預處理氣體的製程,當在製程P332B中向基板210上供應新的前驅物時,可進一步加強新的前驅物僅在絕緣圖案226P及先前形成的介面子層之中的所述先前形成的介面子層的表面上的選擇性沈積。
在實例性實施例中,可使用參照圖13C闡述的方法來形成圖9中所示導電介面層250B、圖10中所示導電介面層250C或圖5中所示導電介面層150D。
圖13D是根據實例性實施例的基於圖11所示製程P330來形成導電介面層的方法的流程圖。
圖13D中所示形成導電介面層的製程可與參照圖13B闡述的製程實質上相同。然而,在圖13D中所示形成導電介面層的製程中,在藉由將製程P332A至P334A執行至少一次而形成一個介面子層之後,當在製程P332F中確定出最終的導電介面層尚未形成至目標厚度時,在再次重複包括製程P332B至P332E的沈積循環以在所述一個介面子層上形成新的介面子層之前,可在製程P338中使用與參照圖13C闡述的方法相同的方法來進一步執行藉由向基板210上供應預處理氣體來選擇性地對絕緣圖案226P的表面執行沈積抑制處置的製程。
在實例性實施例中,可使用參照圖13D闡述的方法來形成圖9中所示導電介面層250B、圖10中所示導電介面層250C或圖5中所示導電介面層150D。
圖14A至圖14G是根據實施例的製造IC裝置的方法的製程順序的剖視圖。在圖14A至圖14G中,使用相同的參考編號來表示與圖7A至圖7D中相同的元件,且不再對其予以贅述。
參照圖14A,可在基板210上形成下部結構220及導電區224,基板210中藉由裝置隔離膜212而界定有主動區AC。導電區224可穿過下部結構220並連接至主動區AC。此後,可在下部結構220及導電區224上形成(例如,以覆蓋下部結構220及導電區224)絕緣膜226。
可在後續製程期間使用絕緣膜226作為蝕刻終止層。絕緣膜226可包含相對於下部結構220具有蝕刻選擇性(etch selectivity)的絕緣材料。在一些實施例中,絕緣膜226可包括氮化矽膜(SiN)、碳氮化矽膜(SiCN)、硼氮化矽膜(SiBN)或其組合。
參照圖14B,可在絕緣膜226上形成模製結構(mold structure)MST。
模製結構MST可包括多個模製膜及多個支撐膜。舉例而言,模製結構MST可包括依序堆疊於絕緣膜226上的第一模製膜232、下部絕緣支撐膜242、第二模製膜234及上部絕緣支撐膜244。第一模製膜232及第二模製膜234中的每一者可包含相對於包含氟化銨(NH 4F)、氫氟酸(HF)及水的蝕刻劑具有相對高的蝕刻速率的材料,且可使用所述蝕刻劑藉由掀離製程(lift-off process)來移除第一模製膜232及第二模製膜234中的每一者。在一些實施例中,第一模製膜232及第二模製膜234中的每一者可包括氧化物膜、氮化物膜或其組合。舉例而言,第一模製膜232可包括硼磷矽酸鹽玻璃(boro phospho silicate glass,BPSG)膜。BPSG膜可包括第一部分及第二部分中的至少一者,在所述第一部分中,摻雜劑B(硼)的濃度在BPSG膜的厚度方向上變化,在所述第二部分中,摻雜劑P(磷)的濃度在BPSG膜的厚度方向上變化。第二模製膜234可包括氮化矽膜或者其中氧化矽膜及氮化矽膜被逐個地交替且重複地堆疊多次的多層式絕緣膜,所述氧化矽膜及所述氮化矽膜中的每一者具有相對小的厚度。然而,第一模製膜232及第二模製膜234中的每一者的構成材料不限於上述實例,且可在本發明概念的範圍內對其進行各種修改及改變。另外,模製結構MST中膜的堆疊次序不限於圖14B中所示實例,且可在本發明概念的範圍內對其進行各種修改及改變。
下部絕緣支撐膜242及上部絕緣支撐膜244中的每一者可包括氮化矽膜(SiN)、碳氮化矽膜(SiCN)、硼氮化矽膜(SiBN)或其組合。在實例性實施例中,下部絕緣支撐膜242與上部絕緣支撐膜244可包含相同的材料。在其他實例性實施例中,下部絕緣支撐膜242與上部絕緣支撐膜244可分別包含不同的材料。在實例中,下部絕緣支撐膜242及上部絕緣支撐膜244中的每一者可包括碳氮化矽膜。在另一實例中,下部絕緣支撐膜242可包括碳氮化矽膜,而上部絕緣支撐膜244可包括含硼氮化矽膜。然而,下部絕緣支撐膜242及上部絕緣支撐膜244的構成材料不限於此,且可在本發明概念的範圍內對其進行各種修改及改變。
參照圖14C,可在圖14B所示所得結構中的模製結構MST上形成遮罩圖案MP。此後,可使用遮罩圖案MP作為蝕刻遮罩且使用絕緣膜226作為蝕刻終止層來各向異性地蝕刻模製結構MST,以形成界定多個孔BH的模製結構圖案MSP。模製結構圖案MSP可包括第一模製圖案232P、下部絕緣支撐圖案242P、第二模製圖案234P及上部絕緣支撐圖案244P。
遮罩圖案MP可包括氮化物膜、氧化物膜、複晶矽膜、光阻劑膜或其組合。
形成所述多個孔BH的製程可更包括對藉由各向異性地蝕刻模製結構MST而獲得的所得結構進行濕處理(wet processing)。在對藉由各向異性地蝕刻模製結構MST而獲得的所得結構進行濕處理的製程期間,絕緣膜226的部分可被一起蝕刻,且因此,可獲得具有暴露出所述多個導電區224的多個開口226H的絕緣圖案226P。可使用包含稀釋的過氧化硫酸(diluted sulfuric acid peroxide,DSP)溶液的蝕刻劑來執行用於對藉由各向異性地蝕刻模製結構MST而獲得的所得結構進行濕處理的實例性製程,但不限於此。
在模製結構圖案MSP中,可在下部絕緣支撐圖案242P中形成作為所述多個孔BH的部分的多個孔242H,且可在上部絕緣支撐圖案244P中形成作為所述多個孔BH的部分的多個孔244H。
參照圖14D,可自圖14C所示所得結構移除遮罩圖案MP,且可形成下部電極LE2以填充所述多個孔BH。
在實例性實施例中,為了形成下部電極LE2,可在圖14D所示所得結構上形成導電層,以填充所述多個孔BH並覆蓋上部絕緣支撐圖案244P的頂表面。為了形成導電層,可使用化學氣相沈積(chemical vapor deposition,CVD)製程、電漿增強型CVD(plasma-enhanced CVD,PECVD)製程、金屬有機CVD(metal organic CVD,MOCVD)製程或原子層沈積(atomic layer deposition,ALD)製程。此後,可使用回蝕製程(etchback process)或化學機械研磨(chemical mechanical polishing,CMP)製程來部分地移除導電層,以暴露出上部絕緣支撐圖案244P的頂表面。
參照圖14E,可自圖14D所示所得結構移除上部絕緣支撐圖案244P的部分,以形成多個上部孔UH。此後,可經由所述多個上部孔UH來對第二模製圖案234P進行濕蝕刻/移除。接下來,可移除下部絕緣支撐圖案242P的經由所述多個上部孔UH而暴露出的部分以形成多個下部孔LH。此後,可經由所述多個下部孔LH對第一模製圖案232P進行濕蝕刻/移除,以暴露出絕緣圖案226P的頂表面。
所述多個上部孔UH及所述多個下部孔LH中的每一者的平坦形狀不限於圖7A及圖7D中所示平坦形狀,且可對其進行各種選擇。在第一模製圖案232P及第二模製圖案234P被移除之後,所述多個下部電極LE2的側壁可被暴露出。
在實例性實施例中,可使用包含氟化銨(NH 4F)、氫氟酸(HF)及水的蝕刻劑對第二模製圖案234P及第一模製圖案232P進行濕蝕刻/移除,但不限於此。
參照圖14F,可僅在圖14E所示所得結構的被暴露出的表面之中的所述多個下部電極LE2的被暴露出的表面上選擇性地形成導電介面層250。
在實例性實施例中,為了形成導電介面層250,可使用參照圖11、圖12及圖13A至圖13D闡述的製程中的至少一者。在實例性實施例中,導電介面層250可具有約1埃至約50埃的厚度,但不限於此。
參照圖14G,在圖14F所示所得結構中,可在導電介面層250的被暴露出的表面、下部絕緣支撐圖案242P及上部絕緣支撐圖案244P中的每一者的被暴露出的表面以及絕緣圖案226P的被暴露出的表面上形成(例如,以覆蓋各所述被暴露出的表面)介電膜260。
可使用ALD製程來形成介電膜260。介電膜260可包含HfO 2、ZrO 2、Al 2O 3、La 2O 3、Ta 2O 3、Nb 2O 5、CeO 2、TiO 2、GeO 2或其組合,但不限於此。
此後,可在圖14G所示所得結構上形成上部電極UE2,以覆蓋介電膜260。因此,可藉由在本發明概念的範圍內進行各種修改及改變來製造包括圖7A至圖7D及圖8A至圖10中所示電容器CP2、CP2A、CP2B及CP2C中的任一者的IC裝置200、200A、200B及200C或者具有擁有各種結構的電容器的IC裝置。
在實例性實施例中,可使用CVD製程、MOCVD製程、物理氣相沈積(physical vapor deposition,PVD)製程或ALD製程來形成上部電極UE2。
在製造IC裝置的方法中,根據參照圖14A至圖14G闡述的實施例,當導電介面層250、250A、250B及250C形成於所述多個下部電極LE2與介電膜260之間時,可使用ASD製程僅在所述多個下部電極LE2的表面上選擇性地形成導電介面層250、250A、250B及250C。為此,在形成導電介面層250、250A、250B及250C之前,可對上面不期望形成導電介面層250、250A、250B及250C的表面執行用於選擇性地抑制沈積的預處理製程,且因此,可執行用於使與包含金屬元素的前驅物之間的化學親和力消除或最小化的穩定化製程。因此,在形成導電介面層250、250A、250B及250C之後,可省略用於移除導電介面層250、250A、250B及250C的不必要部分的附加蝕刻製程。因此,可消除由附加蝕刻製程對所述多個下部電極LE2造成非期望損壞的可能性,且可簡化製造IC裝置的製程。此外,可抑制(例如,防止)在所述多個下部電極LE2的與介電膜260鄰近的部分中產生耗盡層,可增大電容器CP2、CP2A、CP2B及CP2C的最小電容,可抑制出現漏電流,且可改善IC裝置的大規模生產率(mass productivity)及可靠性。
接下來,將闡述根據實施例的用於形成具有各種組成物的導電介面層的實例性製程。
<形成實例1>
為了在上面暴露出SiN膜及TiN膜的基板之上僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成導電介面層,可在將基板加熱至約300℃的溫度的同時,向SiN膜及TiN膜上供應表面處理材料(例如,預處理氣體)約3分鐘。之後,可執行第一製程,所述第一製程是向基板上供應三乙基鋁(triethyl aluminum,TEA)(TEA,Al(C 2H 5) 3)前驅物約10秒並執行吹掃製程約30秒。此後,可執行第二製程,所述第二製程是供應氧化氣體約20秒並執行吹掃製程約30秒。可將包括第一製程及第二製程的ASD製程循環重複250次,且因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的氧化鋁層的導電介面層。氧化鋁層可包含鋁氧化物,例如Al 2O 3
<形成實例2>
除了使用三氯化鋁(AlCl 3)前驅物來代替TEA前驅物,僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的氧化鋁層的導電介面層以外,可執行與形成實例1中的製程相同的製程。
<形成實例3>
除了使用第三丁基亞胺基三(二乙基胺基)鈮(TBTDEN,tBuNNb(NEt 2) 3)前驅物來代替TEA前驅物,僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的NbOx層的導電介面層以外,可執行與形成實例1中的製程相同的製程。NbOx層可包含氧化鈮的同素異形體(例如,NbO、NbO 2及Nb 2O 5)。
<形成實例4>
除了使用第三丁基亞胺基三(二甲基胺基)鈮(TBTDMN,tBuNNb(NMe 2) 3)前驅物來代替TEA前驅物,僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的NbOx層的導電介面層以外,可執行與形成實例1中的製程相同的製程。
<形成實例5>
除了使用第三丁基亞胺基三(二乙基胺基)鉭(TBTDET,tBuNTa(NEt 2) 3)前驅物來代替TEA前驅物,僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的TaOx層的導電介面層以外,可執行與形成實例1中的製程相同的製程。TaOx層可包含鉭氧化物,例如Ta 2O 5
<形成實例6>
除了使用五二甲基胺基鉭(TADMA,Ta(NMe 2) 5)前驅物來代替TEA前驅物,僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的TaOx層的導電介面層以外,可執行與形成實例1中的製程相同的製程。
<形成實例7>
除了使用四二甲基胺基鈦(TDMAT,Ti(NMe 2) 4)前驅物來代替TEA前驅物,僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的TiOx層的導電介面層以外,可執行與形成實例1中的製程相同的製程。TiOx層可包含氧化鈦,例如TiO、TiO 2、Ti 2O、Ti 3O、Ti 3O 5及Ti 4O 7
<形成實例8>
除了使用三甲氧基(五甲基環戊二烯基)鈦((Cp *)Ti(OMe) 3)前驅物來代替TEA前驅物,僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的TiOx層的導電介面層以外,可執行與形成實例1中的製程相同的製程。
<形成實例9>
除了使用TiCl 4前驅物來代替TEA前驅物,僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括厚度為約20埃或小於20埃的TiOx層的導電介面層以外,可執行與形成實例1中的製程相同的製程。
<形成實例10>
可執行與形成實例1中的製程相同的製程,且因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括AlOx層的第一介面子層。
此後,可執行第三製程,所述第三製程是供應TDMAT前驅物約10秒並執行吹掃製程約30秒。之後,可執行第四製程,所述第四製程是供應氧化氣體約20秒並執行吹掃製程約30秒。可將包括第三製程及第四製程的ASD製程循環重複250次,且因此,可僅在SiN膜及第一介面子層之中的第一介面子層上選擇性地形成包括厚度為約20埃或小於20埃的TiOx層的第二介面子層。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括由AlOx層與TiOx層構成的雙重式膜的導電介面層。
<形成實例11>
除了首先形成TiOx層並在TiOx層上形成AlOx層以外,可執行與形成實例10中的製程相似的製程。因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括由TiOx層與AlOx層構成的雙重式膜的導電介面層。
<形成實例12>
可執行與形成實例3中的製程相同的製程,且因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括NbOx層的第一介面子層。
此後,可執行第三製程,所述第三製程是供應TDMAT前驅物約10秒並執行吹掃製程約30秒。之後,可執行第四製程,所述第四製程是供應氧化氣體約20秒並執行吹掃製程約30秒。可將包括第三製程及第四製程的ASD製程循環重複250次,且因此,可僅在SiN膜及第一介面子層之中的第一介面子層上選擇性地形成包括厚度為約20埃或小於20埃的TiOx層的第二介面子層。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括由NbOx層與TiOx層構成的雙重式膜的導電介面層。
<形成實例13>
除了首先形成TiOx層並在TiOx層上形成NbOx層以外,可執行與形成實例12中的製程相似的製程。因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括由TiOx層與NbOx層構成的雙重式膜的導電介面層。
<形成實例14>
可執行與形成實例7中的製程相同的製程,且因此,可形成包括TiOx層的第一介面子層。此後,可執行第三製程,所述第三製程是供應TBTDET前驅物約10秒並執行吹掃製程約30秒。之後,可執行第四製程,所述第四製程是供應氧化氣體約20秒並執行吹掃製程約30秒。可將包括第三製程及第四製程的ASD製程循環重複250次,且因此,可僅在SiN膜及第一介面子層之中的第一介面子層上選擇性地形成包括厚度為約20埃或小於20埃的TaOx層的第二介面子層。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括由TiOx層與TaOx層構成的雙重式膜的導電介面層。
<形成實例15>
除了首先形成TaOx層並在TaOx層上形成TiOx層以外,可執行與形成實例14中的製程相似的製程。因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括由TaOx層與TiOx層構成的雙重式膜的導電介面層。
<形成實例16>
可執行與形成實例11中的製程相同的製程,且因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括TiOx層的第一介面子層及包括AlOx層的第二介面子層。
此後,可執行第五製程,所述第五製程供應TDMAT前驅物約10秒並執行吹掃製程約30秒。之後,可執行第六製程,所述第六製程供應氧化氣體約20秒並執行吹掃製程約30秒。可將包括第五製程及第六製程的ASD製程循環重複250次,且因此,可僅在SiN膜及第二介面子層之中的第二介面子層上選擇性地形成包括厚度為約20埃或小於20埃的TiOx層的第三介面子層。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括其中依序堆疊有TiOx層、AlOx層及TiOx層的三重式膜的導電介面層。
<形成實例17>
除了首先形成包括AlOx層的第一介面子層並在第一介面子層上依序形成包括TiOx層的第二介面子層及包括AlOx層的第三介面子層以外,可執行與形成實例16中的製程相似的製程。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括其中依序堆疊有AlOx層、TiOx層及AlOx層的三重式層的導電介面層。
<形成實例18>
可執行與形成實例13中的製程相同的製程,且因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括TiOx層的第一介面子層及包括NbOx層的第二介面子層。
此後,可執行第五製程,所述第五製程是供應TDMAT前驅物約10秒並執行吹掃製程約30秒。之後,可執行第六製程,所述第六製程是供應氧化氣體約20秒並執行吹掃製程約30秒。可將包括第五製程及第六製程的ASD製程循環重複250次,且因此,可僅在SiN膜及第二介面子層之中的第二介面子層上選擇性地形成包括厚度為約20埃或小於20埃的TiOx層的第三介面子層。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括其中依序堆疊有TiOx層、NbOx層及TiOx層的三重式層的導電介面層。
<形成實例19>
除了首先形成包括NbOx層的第一介面子層並在第一介面子層上依序形成包括TiOx層的第二介面子層及包括NbOx層的第三介面子層以外,可執行與形成實例18中的製程相似的製程。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括其中依序堆疊有NbOx層、TiOx層及NbOx層的三重式層的導電介面層。
<形成實例20>
可執行與形成實例14中的製程相同的製程,且因此,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括TiOx層的第一介面子層及包括TaOx層的第二介面子層。
此後,可將包括已在形成實例18中闡述的第五製程及第六製程的ASD製程循環重複250次,且因此,可僅在SiN膜及第二介面子層之中的第二介面子層上選擇性地形成包括厚度為約20埃或小於20埃的TiOx層的第三介面子層。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括其中依序堆疊有TiOx層、TaOx層及TiOx層的三重式層的導電介面層。
<形成實例21>
除了首先形成包括TaOx層的第一介面子層並在第一介面子層上依序形成包括TiOx層的第二介面子層及包括TaOx層的第三介面子層以外,可執行與形成實例20中的製程相似的製程。作為結果,可僅在SiN膜及TiN膜之中的TiN膜上選擇性地形成包括其中依序堆疊有TaOx層、TiOx層及TaOx層的三重式膜的導電介面層。
儘管已參照本發明概念的實施例具體示出並闡述了本發明概念,然而應理解,在不背離以下申請專利範圍的範圍的條件下,可對其作出形式及細節上的各種改變。
2X-2X':線 100、100A、100B、100C、100D、200、200A、200B、200C:積體電路(IC)裝置 102、210:基板 120、220:下部結構 150、150A、150B、150C、150D、250、250A、250B、250C:導電介面層 150B1、150C1、250B1、250C1:第一介面子層 150B2、150C2、250B2、250C2:第二介面子層 150C3、250C3:第三介面子層 150D1、150D2、150DN:介面子層 160、260:介電膜 212:裝置隔離膜 224:導電區 226:絕緣膜 226H:開口 226P:絕緣圖案 232:第一模製膜 232P:第一模製圖案 234:第二模製膜 234P:第二模製圖案 242:下部絕緣支撐膜 242H、244H、BH:孔 242P:下部絕緣支撐圖案 244:上部絕緣支撐膜 244P:上部絕緣支撐圖案 250S:介面側部分 250T:介面頂部部分 AC:主動區 BC:隱埋式接觸件 BL:位元線 CP1、CP2、CP2A、CP2B、CP2C:電容器 DC:直接接觸件 EX1:區 LE1、LE2:下部電極 LH:下部孔 LP:導電搭接接墊 MP:遮罩圖案 MSP:模製結構圖案 MST:模製結構 P1:第一點 P2:第二點 P310、P320、P330、P332A、P332B、P332C、P332D、P332E、P332F、P334A、P334B、P336、P338、P340、P350、P360:製程 TH1、TH2:厚度 UE1、UE2:上部電極 UH:上部孔 VL1:第一垂直距離 VL2:第二垂直距離 WH1、WH2:寬度 WL:字元線 X、Y、Z:方向
結合附圖閱讀以下詳細說明,將更清楚地理解本發明概念的實施例,在附圖中: 圖1是根據實施例的積體電路(IC)裝置的主組件的剖視圖。 圖2是根據實施例的IC裝置的主組件的剖視圖。 圖3是根據實施例的IC裝置的主組件的剖視圖。 圖4是根據實施例的IC裝置的主組件的剖視圖。 圖5是根據實施例的IC裝置的主組件的剖視圖。 圖6示出根據實施例的IC裝置的記憶體胞元陣列區的一些組件的示意平面佈局。 圖7A是圖6中所示IC裝置的一些組件的平面圖。 圖7B是對應於沿圖7A所示線2X-2X'截取的橫截面的一些組件的示意剖視圖。 圖7C是圖7B所示區「EX1」的放大剖視圖。 圖7D是圖7A中所示IC裝置的一些其他組件的平面圖。 圖8是根據實施例的IC裝置的主組件的剖視圖。 圖9是根據實施例的IC裝置的主組件的剖視圖。 圖10是根據實施例的IC裝置的主組件的剖視圖。 圖11是根據實施例的製造IC裝置的方法的流程圖。 圖12是根據實例性實施例的基於圖11所示製程P330來形成導電介面層的方法的流程圖。 圖13A至圖13D是根據實例性實施例的基於圖11所示製程P330來形成導電介面層的方法的流程圖。 圖14A至圖14G是根據實施例的製造IC裝置的方法的製程順序的剖視圖。
100:積體電路(IC)裝置
102:基板
120:下部結構
150:導電介面層
160:介電膜
CP1:電容器
LE1:下部電極
TH1、TH2:厚度
UE1:上部電極

Claims (20)

  1. 一種積體電路裝置,包括: 下部電極,位於基板上,所述下部電極包含金屬; 介電膜,位於所述下部電極上; 導電介面層,位於所述下部電極與所述介電膜之間,所述導電介面層包括包含至少一種金屬元素的金屬氧化物膜;以及 上部電極,與所述下部電極相對,所述導電介面層及所述介電膜位於所述上部電極與所述下部電極之間。
  2. 如請求項1所述的積體電路裝置, 其中所述導電介面層包括第一介面子層及第二介面子層, 其中所述第一介面子層位於所述第二介面子層與所述下部電極之間,且 其中所述第一介面子層與所述第二介面子層分別包括包含不同金屬元素的相應金屬氧化物膜。
  3. 如請求項1所述的積體電路裝置, 其中所述導電介面層包括第一介面子層、第二介面子層及第三介面子層, 其中所述第一介面子層位於所述第二介面子層與所述下部電極之間, 其中所述第二介面子層位於所述第一介面子層與所述第三介面子層之間,且 其中所述第一介面子層、所述第二介面子層及所述第三介面子層分別包括包含不同金屬元素的相應金屬氧化物膜。
  4. 如請求項1所述的積體電路裝置, 其中所述導電介面層包括第一介面子層、第二介面子層及第三介面子層, 其中所述第一介面子層位於所述第二介面子層與所述下部電極之間, 其中所述第二介面子層位於所述第一介面子層與所述第三介面子層之間, 其中所述第一介面子層及所述第三介面子層中的每一者包含第一金屬元素,且 其中所述第二介面子層包含不同於所述第一金屬元素的第二金屬元素。
  5. 一種積體電路裝置,包括: 基板,包括主動區; 導電區,位於所述主動區上; 電容器,位於所述導電區上;以及 絕緣支撐圖案,被配置成支撐所述電容器的一部分, 其中所述電容器包括: 下部電極,包含第一金屬,所述下部電極包括與所述絕緣支撐圖案接觸的一部分; 介電膜,位於所述下部電極及所述絕緣支撐圖案上; 導電介面層,位於所述下部電極與所述介電膜之間,所述導電介面層包括包含至少一種金屬元素的金屬氧化物膜;以及 上部電極,與所述下部電極相對,所述導電介面層及所述介電膜位於所述上部電極與所述下部電極之間,所述上部電極包含第二金屬。
  6. 如請求項5所述的積體電路裝置, 其中所述導電介面層包括位於所述下部電極上的多個介面子層, 其中所述多個介面子層包括彼此接觸的第一介面子層與第二介面子層, 其中所述第一介面子層位於所述第二介面子層與所述下部電極之間,且 其中所述第一介面子層與所述第二介面子層分別包含不同的金屬元素。
  7. 如請求項5所述的積體電路裝置, 其中所述導電介面層包括位於所述下部電極上的至少三個介面子層,且 其中所述至少三個介面子層中兩個鄰近的介面子層分別包含不同的金屬元素。
  8. 一種積體電路裝置,包括: 基板,包括主動區; 多個導電區,位於所述主動區上; 絕緣圖案,在所述多個導電區上在側向方向上延伸,所述絕緣圖案具有與所述多個導電區在垂直方向上交疊的多個開口; 多個下部電極,經由所述多個開口穿過所述絕緣圖案,所述多個下部電極連接至所述多個導電區且各自包含第一金屬; 絕緣支撐圖案,在於垂直方向上與所述絕緣圖案間隔開的位置處在所述側向方向上延伸,所述絕緣支撐圖案與所述多個下部電極中的每一者的一部分接觸,以支撐所述多個下部電極; 介電膜,位於所述多個下部電極、所述絕緣圖案及所述絕緣支撐圖案上; 導電介面層,位於所述多個下部電極與所述介電膜之間,所述導電介面層包括包含至少一種金屬元素的金屬氧化物膜;以及 上部電極,與所述多個下部電極相對,所述導電介面層及所述介電膜位於所述上部電極與所述多個下部電極之間,所述上部電極包含第二金屬。
  9. 如請求項8所述的積體電路裝置, 其中所述導電介面層包括多個介面子層,所述多個介面子層位於所述多個下部電極中的每一者的表面上,且 其中所述多個介面子層包括彼此接觸的第一介面子層與第二介面子層, 其中所述第一介面子層位於所述第二介面子層與所述多個下部電極中的每一者的所述表面之間,且 其中所述第一介面子層與所述第二介面子層分別包含不同的金屬元素。
  10. 一種製造積體電路裝置的方法,所述方法包括: 在基板上形成絕緣圖案; 在所述基板上鄰近於所述絕緣圖案形成電極,所述電極包含金屬; 在所述電極的表面上形成導電介面層,所述導電介面層包括包含至少一種金屬元素的金屬氧化物膜;以及 形成與所述導電介面層及所述絕緣圖案接觸的介電膜。
  11. 如請求項10所述的方法,其中形成所述導電介面層包括: 形成與所述電極的所述表面接觸的第一介面子層,所述第一介面子層包含第一金屬元素;以及 形成與所述第一介面子層接觸的第二介面子層,所述第二介面子層包含不同於所述第一金屬元素的第二金屬元素。
  12. 如請求項10所述的方法,其中形成所述導電介面層包括: 藉由向其中所述絕緣圖案及所述電極被暴露出的所得結構上供應用於選擇性地抑制沈積的第一預處理氣體,對所述電極及所述絕緣圖案之中的所述絕緣圖案的表面執行沈積抑制處置; 藉由向已被處置以抑制沈積的所述絕緣圖案以及所述電極供應包含第一金屬元素的第一前驅物,僅在已被處置以抑制沈積的所述絕緣圖案以及所述電極之中的所述電極的所述表面上選擇性地形成所述第一前驅物的吸附層;以及 藉由向其中形成有所述第一前驅物的所述吸附層的所得結構上供應第一氧化氣體,自所述第一前驅物的所述吸附層形成包含所述第一金屬元素的第一金屬氧化物膜。
  13. 如請求項12所述的方法,其中所述第一預處理氣體包括H 2、N 2、Ar、O 2、O 3、H 2O、NH 3、含矽有機化合物、含磷有機化合物、含硫有機化合物、含鹵族元素有機化合物、含氮有機化合物、含羥基有機化合物、有機胺基矽烷或其組合。
  14. 如請求項12所述的方法,其中所述第一氧化氣體包括O 2、O 3、H 2O、NO 2、NO、N 2O、CO、CO 2、H 2O 2、HCOOH、CH 3COOH、(CH 3CO) 2O、醇類、過氧化物、氧化硫、電漿O 2、遠程電漿O 2、電漿N 2O、電漿H 2O或其組合。
  15. 如請求項12所述的方法,在形成所述第一金屬氧化物膜之後,更包括藉由向其中所述絕緣圖案及所述第一金屬氧化物膜被暴露出的所得結構上供應用於選擇性地抑制沈積的第二預處理氣體,對所述第一金屬氧化物膜及所述絕緣圖案之中的所述絕緣圖案的所述表面執行沈積抑制處置,且 其中所述第二預處理氣體包括H 2、N 2、Ar、O 2、O 3、H 2O、NH 3、含矽有機化合物、含磷有機化合物、含硫有機化合物、含鹵族元素有機化合物、含氮有機化合物、含羥基有機化合物、有機胺基矽烷或其組合。
  16. 如請求項12所述的方法,其中形成所述導電介面層更包括: 在形成所述第一金屬氧化物膜之後, 藉由向其中所述絕緣圖案及所述第一金屬氧化物膜被暴露出的所得結構上供應用於選擇性地抑制沈積的第二預處理氣體,對所述第一金屬氧化物膜及所述絕緣圖案之中的所述絕緣圖案的所述表面執行沈積抑制處置; 藉由向已被處置以抑制沈積的所述絕緣圖案以及所述第一金屬氧化物膜供應包含第二金屬元素的第二前驅物,僅在已被處置以抑制沈積的所述絕緣圖案以及所述第一金屬氧化物膜之中的所述第一金屬氧化物膜的表面上選擇性地形成所述第二前驅物的吸附層,其中所述第二金屬元素不同於所述第一金屬元素;以及 藉由向其中形成有所述第二前驅物的所述吸附層的所得結構上供應第二氧化氣體,自所述第二前驅物的所述吸附層形成包含所述第二金屬元素的第二金屬氧化物膜。
  17. 如請求項10所述的方法,在形成所述導電介面層之後且在形成所述介電膜之前,更包括藉由向所述導電介面層供應後處理氣體來使所述導電介面層緻密化, 其中所述後處理氣體包括H 2、N 2、Ar、O 2、O 3、H 2O、NH 3或其組合。
  18. 一種製造積體電路裝置的方法,所述方法包括: 在基板上形成下部電極及絕緣支撐圖案,所述下部電極包含第一金屬,所述絕緣支撐圖案被配置成支撐所述下部電極; 僅在所述絕緣支撐圖案及所述下部電極之中的所述下部電極的表面上選擇性地形成導電介面層,所述導電介面層包括包含至少一種金屬元素的金屬氧化物膜; 藉由向所述導電介面層供應後處理氣體來使所述導電介面層緻密化; 形成與所述導電介面層及所述絕緣支撐圖案接觸的介電膜;以及 與所述下部電極相對地形成上部電極,所述導電介面層及所述介電膜位於所述上部電極與所述下部電極之間,所述上部電極包含第二金屬。
  19. 如請求項18所述的方法, 其中形成所述導電介面層包括形成至少三個介面子層,且 其中,在所述至少三個介面子層之中,彼此接觸的兩個介面子層包含不同的相應金屬元素。
  20. 一種製造積體電路裝置的方法,所述方法包括: 在基板上形成多個下部電極及絕緣支撐圖案,所述多個下部電極包含第一金屬,所述絕緣支撐圖案被配置成支撐所述多個下部電極; 僅在所述絕緣支撐圖案及所述多個下部電極之中的所述多個下部電極的表面上選擇性地形成導電介面層,所述導電介面層包括包含至少一種金屬元素的金屬氧化物膜; 向所述導電介面層供應後處理氣體並使所述導電介面層緻密化; 形成與所述導電介面層及所述絕緣支撐圖案接觸的介電膜;以及 與所述多個下部電極相對地形成上部電極,所述導電介面層及所述介電膜位於所述上部電極與所述多個下部電極之間,所述上部電極包含第二金屬, 其中形成所述導電介面層包括: 藉由向所述絕緣支撐圖案及所述多個下部電極上供應用於選擇性地抑制沈積的第一預處理氣體,對所述多個下部電極及所述絕緣支撐圖案之中的所述絕緣支撐圖案的表面執行沈積抑制處置; 藉由向已被處置以抑制沈積的所述絕緣支撐圖案以及所述多個下部電極供應包含第一金屬元素的第一前驅物,僅在已被處置以抑制沈積的所述絕緣支撐圖案以及所述多個下部電極之中的所述多個下部電極的所述表面上選擇性地形成所述第一前驅物的吸附層; 藉由向其中形成有所述第一前驅物的所述吸附層的所得結構上供應第一氧化氣體,自所述第一前驅物的所述吸附層形成包含所述第一金屬元素的第一金屬氧化物膜;以及 藉由多次重複進行所述選擇性地形成所述第一前驅物的所述吸附層及形成所述第一金屬氧化物膜,形成與所述多個下部電極接觸的第一介面子層。
TW111117490A 2021-06-24 2022-05-10 積體電路裝置及其製造方法 TW202303762A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2021-0082331 2021-06-24
KR1020210082331A KR20230000207A (ko) 2021-06-24 2021-06-24 집적회로 소자 및 그 제조 방법

Publications (1)

Publication Number Publication Date
TW202303762A true TW202303762A (zh) 2023-01-16

Family

ID=84542636

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111117490A TW202303762A (zh) 2021-06-24 2022-05-10 積體電路裝置及其製造方法

Country Status (4)

Country Link
US (1) US20220416010A1 (zh)
KR (1) KR20230000207A (zh)
CN (1) CN115528172A (zh)
TW (1) TW202303762A (zh)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10164003B2 (en) * 2016-01-14 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. MIM capacitor and method of forming the same
KR20200145871A (ko) * 2019-06-11 2020-12-31 삼성전자주식회사 집적회로 소자 및 그 제조 방법

Also Published As

Publication number Publication date
CN115528172A (zh) 2022-12-27
KR20230000207A (ko) 2023-01-02
US20220416010A1 (en) 2022-12-29

Similar Documents

Publication Publication Date Title
US11145506B2 (en) Selective passivation and selective deposition
US6551399B1 (en) Fully integrated process for MIM capacitors using atomic layer deposition
US7425514B2 (en) Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US8138057B2 (en) Metal oxide alloy layer, method of forming the metal oxide alloy layer, and methods of manufacturing a gate structure and a capacitor including the metal oxide alloy layer
US9076647B2 (en) Method of forming an oxide layer and method of manufacturing semiconductor device including the oxide layer
US20020115275A1 (en) Method for forming a dielectric layer of a semiconductor device and a capacitor using the same
JP2001200363A (ja) テクスチャ加工されたキャパシタ電極上のコンフォーマル薄膜
CN102082171A (zh) 半导体器件的电极以及制造电容器的方法
KR100611072B1 (ko) 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
KR20060110947A (ko) 반응 장벽막을 갖는 반도체 장치의 제조 방법
US10062699B1 (en) Capacitor for semiconductor memory element and method for manufacturing the same
US20070032013A1 (en) Methods of forming a metal oxide layer including zirconium oxide and methods of forming a capacitor for semiconductor devices including the same
CN113862635A (zh) 形成材料层的方法、半导体装置和制造半导体装置的方法
TW202303762A (zh) 積體電路裝置及其製造方法
US20230180462A1 (en) Semiconductor device with air gap
US20210358745A1 (en) Selective passivation and selective deposition
KR20110103534A (ko) 유전막 구조물 형성 방법, 이를 이용한 커패시터 제조 방법 및 커패시터
KR20100078496A (ko) 반도체 소자의 커패시터 형성 방법
KR100809336B1 (ko) 메모리 소자의 제조 방법
TWI835328B (zh) 積體電路裝置
TWI842748B (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
KR20050012638A (ko) 반도체소자의 캐패시터 형성방법
KR101026477B1 (ko) 반도체 소자의 캐패시터 형성방법
TW202402771A (zh) 鈮、釩、鉭成膜組成物及利用其沉積含第v(五)族之膜
KR100686688B1 (ko) 루테늄 박막 형성 방법