CN113862635A - 形成材料层的方法、半导体装置和制造半导体装置的方法 - Google Patents

形成材料层的方法、半导体装置和制造半导体装置的方法 Download PDF

Info

Publication number
CN113862635A
CN113862635A CN202110737506.0A CN202110737506A CN113862635A CN 113862635 A CN113862635 A CN 113862635A CN 202110737506 A CN202110737506 A CN 202110737506A CN 113862635 A CN113862635 A CN 113862635A
Authority
CN
China
Prior art keywords
carbons
metal precursor
inhibitor
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110737506.0A
Other languages
English (en)
Inventor
金润洙
金在员
金海龙
李珍镐
翼白鸟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeka Corp
Samsung Electronics Co Ltd
Original Assignee
Adeka Corp
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020210074189A external-priority patent/KR20220002096A/ko
Application filed by Adeka Corp, Samsung Electronics Co Ltd filed Critical Adeka Corp
Publication of CN113862635A publication Critical patent/CN113862635A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)

Abstract

根据本发明构思的一些实施例的形成材料层的方法可以包括沉积循环,沉积循环包括:在衬底上提供吸附抑制剂;吹扫过量的吸附抑制剂;在衬底上提供金属前体;吹扫过量的金属前体;以及供应反应剂以在衬底上形成材料层。吸附抑制剂可以包括15族元素或16族元素。

Description

形成材料层的方法、半导体装置和制造半导体装置的方法
相关申请的交叉引用
本申请要求分别于2020年6月30日和2021年6月8日在韩国知识产权局提交的韩国专利申请No.10-2020-0080504和No.10-2021-0074189的权益,该两件申请的公开内容以引用方式全部并入本文中。
技术领域
本发明构思涉及一种形成材料层的方法、制造半导体装置的方法和半导体装置,更具体地,涉及一种形成即使在具有高纵横比的复杂结构的表面也具有优异的台阶覆盖率的材料层的方法、具有高可靠性和优异的电性能的半导体装置和制造半导体装置的方法。
背景技术
半导体装置中的结构的纵横比由于需要半导体装置的高性能和高集成密度而连续地增大。高纵横比会导致材料层难以形成,并且已经开发了形成具有更好的电性能且没有空隙的材料层的方法。
发明内容
本发明构思提供了一种形成即使在具有高纵横比的复杂结构的表面上也具有优异的台阶覆盖率的材料层的方法。
本发明构思提供了一种制造具有高可靠性和优异的电性能的半导体装置的方法。
本发明构思提供了一种具有高可靠性和优异的电性能的半导体装置。
根据本发明构思的一些实施例,形成材料层的方法可以包括沉积循环,所述沉积循环包括:在衬底上提供吸附抑制剂;吹扫过量的吸附抑制剂;在衬底上提供金属前体;吹扫过量的金属前体;以及供应反应剂以在衬底上形成材料层,其中,吸附抑制剂可以包括15族元素或16族元素。
根据本发明构思的一些实施例,一种形成材料层的方法可以包括沉积循环,所述沉积循环包括:在反应腔室中的衬底上提供吸附抑制剂;从反应腔室吹扫过量的吸附抑制剂;在衬底上提供金属前体;吹扫过量的金属前体以从反应腔室去除过量的金属前体;以及供应反应剂以在衬底上形成材料层,其中,吸附抑制剂可以包括氮(N)、氧(O)、磷(P)或硫(S),可以通过汽化器将吸附抑制剂和金属前体供应到反应腔室中。
根据本发明构思的一些实施例,制造半导体装置的方法可以包括:形成电连接到半导体衬底的有源区域的下电极;在下电极的整个暴露表面上形成介电层;以及在介电层上形成上电极,其中,形成下电极和形成上电极中的至少一个包括重复执行沉积循环,所述沉积循环包括:在半导体衬底上提供包含15族元素或16族元素的吸附抑制剂;吹扫过量的吸附抑制剂;在半导体衬底上提供金属前体;吹扫过量的金属前体;供应反应剂以在半导体衬底上形成材料层。
根据本发明构思的一些实施例,半导体装置包括:两个或更多个下电极,其位于衬底上;介电层,其位于两个或更多个下电极的表面上;以及上电极,其位于介电层上,并且与两个或更多个下电极电绝缘,其中,两个或更多个下电极中的每一个的纵横比为大约30至大约200,并且包含在两个或更多个下电极中的每一个或者上电极中的氮(N)、氧(O)、磷(P)或硫(S)的含量为大约0.01at%至大约10at%。
附图说明
将通过结合附图的以下的详细描述来更加清楚地理解本发明构思的实施例,在附图中:
图1是示出根据本发明构思的一些实施例的形成材料层的方法的流程图;
图2是示出根据本发明构思的一些实施例的在衬底上形成材料层的方法的流程图;
图3A至图3C是概念性地示出其中在衬底上均匀地形成材料层的机制的示意图;
图4A至图4D是概念性地示出不使用吸附抑制剂时生长材料层的示意图;
图5是概念性地示出根据本发明构思的一些实施例用于执行形成材料层的方法的半导体设备的示意图;
图6A至图6D是示出根据本发明构思的一些实施例的供应用于形成材料层的吸附抑制剂、金属前体、吹扫气体和反应剂的时序的示意图;
图7A至图7H是示出根据本发明构思的一些实施例的制造半导体装置的方法的侧面剖视图;
图8是具有具备敞开上端的单圆柱存储体(one-cylinder storage,OCS)的下电极的侧面剖视图;以及
图9A至图9C是示出根据本发明构思的一些实施例的制造半导体装置的方法的图。
具体实施方式
在下文中,将参照附图详细地描述本发明构思的示例实施例。将同样的附图标记给定到附图中的相同或相似的部件,并且可以省略其重复描述。
图1是示出根据本发明构思的一些实施例的形成材料层的方法的流程图。
参照图1,在反应腔室中设置衬底(S10)。衬底可以包括由半导体元素(诸如硅(Si)或锗(Ge))或化合物半导体(诸如碳化硅(SiC)、砷化镓(GaAs)、砷化铟(InAs)和磷化铟(InP))形成的半导体衬底。在一些实施例中,衬底可以包括半导体衬底、形成在半导体衬底上的至少一个绝缘层和/或包括至少一个导电区域的结构。导电区域可以包括例如掺有杂质的阱、掺有杂质的结构、含金属导电层等。另外,衬底可以具有诸如浅沟槽(STI)结构的各种隔离结构。
可以在设置在反应腔室中的衬底上形成材料层(S20)。材料层可以包括金属氮化物或准金属氮化物。
在一些实施例中,材料层可以包含金属和/或准金属,诸如MxNy(其中,x和y分别指示M和氮的相对组成比,并且是大于0的实数,并且不受具体限制)。这里,M可以是选自由铍(Be)、硼(B)、钠(Na)、镁(Mg)、铝(Al)、硅(Si)、钾(K)、钙(Ca)、钪(Sc)、钛(Ti)、钒(V)、铬(Cr)、锰(Mn)、铁(Fe)、钴(Co)、镍(Ni)、铜(Cu)、锌(Zn)、镓(Ga)、锗(Ge)、铷(Rb)、锶(Sr)、钇(Y)、锆(Zr)、铌(Nb)、钼(Mo)、锝(Tc)、钌(Ru)、铑(Rh)、钯(Pd)、银(Ag)、镉(Cd)、铟(In)、锡(Sn)、锑(Sb)、碲(Te)、铯(Cs)、钡(Ba)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钷(Pm)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)、镱(Yb)、镥(Lu)、铪(Hf)、钽(Ta)、钨(W)、铼(Re)、锇(Os)、铱(Ir)、铂(Pt)、金(Au)、汞(Hg)、铊(Tl)、铅(Pb)、铋(Bi)、钋(Po)、钫(Fr)、镭(Ra)、锕(Ac)、钍(Th)、镨(Pa)和铀(U)组成的组中的至少一种。
在一些实施例中,材料层可以是电导体,并且可以用于各种目的。例如,根据本发明构思的一些实施例的材料层可以用于构成半导体存储器装置的电容器的一部分的上电极和/或下电极、用于布线的导电阻挡层、磁性膜、用于液晶的阻挡金属层、用于薄膜太阳能电池的构件、用于半导体设施的构件、纳米结构、储氢合金和微机电系统(MEMS)致动器等中,但不限于此。
接着,确定材料层是否被形成为具有期望的厚度(S30)。当材料层被形成为薄于期望的厚度时,再次重复形成材料层的步骤(S20),并且当材料层被形成为厚于或等于期望的厚度时,可以终止附加材料层的形成。
图2是示出根据本发明构思的一些实施例的图1中的步骤S20的流程图。图3A至图3C是概念性地示出其中在衬底100上均匀地形成材料层的机制的示意图。
参照图2和图3A,可以在衬底100上提供吸附抑制剂AI(S21)。吸附抑制剂AI可以吸附在衬底100的表面上。在一些实施例中,吸附抑制剂AI可以与衬底100的表面形成配位键。吸附抑制剂AI可以在衬底100的表面上形成单层。
在一些实施例中,吸附抑制剂AI可以是具有R-An-R'的结构的化合物,其中,A为氧(O)、硫(S)、硒(Se)、碲(Te)或钋(Po),n是1、2或3的整数,R和R'各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、或者具有3个至12个碳的环烯基,R和R'不同时为氢,并且R和R'可以彼此连接以形成环。
吸附抑制剂AI可以包括例如CH3OH、C2H5OH、C3H7OH、C4H9OH、CH3-O-CH3、C2H5-O-CH3、C3H7-O-CH3、C4H9-O-CH3、C2H5-O-C2H5、C3H7-O-C2H5、C4H9-O-C2H5、C3H7-O-C3H7、C4H9-O-C3H7、C4H9-O-C4H9、CH2=CH-OH、CH2=CH-OH、CH2=CH-OH、CH2=CH-OH、CH2=CH-O-CH3、CH2=CH-O-CH3、CH2=CH-O-CH3、CH2=CH-O-CH3、CH2=CH-O-C2H5、CH2=CH-O-C2H5、CH2=CH-O-C2H5、CH2=CH-O-C3H7、CH2=CH-O-C3H7、CH2=CH-O-C4H9、C3H5-OH、C3H5-OH、C3H5-OH、C3H5-OH、C3H5-O-CH3、C3H5-O-CH3、C3H5-O-CH3、C3H5-O-CH3、C3H5-O-C2H5、C3H5-O-C2H5、C3H5-O-C2H5、C3H5-O-C3H7、C3H5-O-C3H7、C3H5-O-C4H9、C6H5-OH、C6H5-OH、C6H5-OH、C6H5-OH、C6H5-O-CH3、C6H5-O-CH3、C6H5-O-CH3、C6H5-O-CH3、C6H5-O-C2H5、C6H5-O-C2H5、C6H5-O-C2H5、C6H5-O-C3H7、C6H5-O-C3H7、C6H5-O-C4H9、CH3SH、C2H5SH、C3H7SH、C4H9SH、CH3-S-CH3、C2H5-S-CH3、C3H7-S-CH3、C4H9-S-CH3、C2H5-S-C2H5、C3H7-S-C2H5、C4H9-S-C2H5、C3H7-S-C3H7、C4H9-S-C3H7、C4H9-S-C4H9、CH2=CH-SH、CH2=CH-SH、CH2=CH-SH、CH2=CH-SH、CH2=CH-S-CH3、CH2=CH-S-CH3、CH2=CH-S-CH3、CH2=CH-S-CH3、CH2=CH-S-C2H5、CH2=CH-S-C2H5、CH2=CH-S-C2H5、CH2=CH-S-C3H7、CH2=CH-S-C3H7、CH2=CH-S-C4H9、C3H5-SH、C3H5-SH、C3H5-SH、C3H5-SH、C3H5-S-CH3、C3H5-S-CH3、C3H5-S-CH3、C3H5-S-CH3、C3H5-S-C2H5、C3H5-S-C2H5、C3H5-S-C2H5、C3H5-S-C3H7、C3H5-S-C3H7、C3H5-S-C4H9、C6H5-SH、C6H5-SH、C6H5-SH、C6H5-SH、C6H5-S-CH3、C6H5-S-CH3、C6H5-S-CH3、C6H5-S-CH3、C6H5-S-C2H5、C6H5-S-C2H5、C6H5-S-C2H5、C6H5-S-C3H7、C6H5-S-C3H7、C6H5-S-C4H9等,但不限于此。
在一些实施例中,吸附抑制剂AI可以是具有Dm(RR'R")的结构的化合物,其中,D为氮(N)、磷(P)、砷(As)、锑(Sb)或铋(Bi),m为1或2,R、R'和R"各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、或者具有3个至12个碳的环烯基,R、R'和R"不同时为氢,并且R、R'和R"中的两个或更多个可以彼此连接以形成环。
吸附抑制剂AI可以包括例如NH2(CH3)、NH2(C2H5)、NH2(C3H7)、NH2(C4H9)、NH(CH3)2、NH(CH3)(C2H5)、NH(CH3)(C3H7)、NH(CH3)(C4H9)、NH(C2H5)2、NH(C2H5)(C3H7)、NH(C2H5)(C4H9)、NH(C3H7)2、NH(C3H7)(C4H9)、NH(C4H9)2、N(CH3)3、N(CH3)2(C2H5)、N(CH3)2(C3H7)、N(CH3)2(C4H9)、N(CH3)(C2H5)2、N(CH3)(C2H5)(C3H7)、N(CH3)(C2H5)(C4H9)、N(CH3)(C3H7)2、N(CH3)(C3H7)(C4H9)、N(CH3)(C4H9)2、N(C2H5)3、N(C2H5)2(C3H7)、N(C2H5)2(C4H9)、N(C2H5)(C3H7)2、N(C2H5)(C3H7)(C4H9)、N(C2H5)(C4H9)2、N(C3H7)3、N(C3H7)2(C4H9)、N(C3H7)(C4H9)2、N(C4H9)3、NH2(C2H3)、NH2(C3H5)、NH2(C4H7)、NH(CH3)(C2H3)、NH(CH3)(C3H5)、NH(CH3)(C4H7)、NH(C2H3)2、NH(C2H3)(C3H7)、NH(C2H5)(C3H5)、NH(C2H3)(C3H5)、NH(C2H3)(C4H9)、NH(C2H5)(C4H7)、NH(C2H3)(C4H7)、NH(C3H5)2、NH(C3H5)(C4H9)、NH(C3H7)(C4H7)、NH(C3H5)(C4H7)、NH(C4H7)2、N(CH3)2(C2H3)、N(CH3)2(C3H5)、N(CH3)2(C4H7)、N(CH3)(C2H3)2、N(CH3)(C2H3)(C3H7)、N(CH3)(C2H5)(C3H5)、N(CH3)(C2H3)(C3H5)、N(CH3)(C2H3)(C4H9)、N(CH3)(C2H5)(C4H7)、N(CH3)(C2H3)(C4H7)、N(CH3)(C3H5)2、N(CH3)(C3H5)(C4H9)、N(CH3)(C3H7)(C4H7)、N(CH3)(C3H5)(C4H7)、N(CH3)(C4H7)2、N(C2H3)3、N(C2H3)2(C3H7)、N(C2H5)2(C3H5)、N(C2H3)2(C3H5)、N(C2H3)2(C4H9)、N(C2H5)2(C4H7)、N(C2H3)2(C4H7)、N(C2H3)(C3H7)2、N(C2H5)(C3H5)2、N(C2H3)(C3H5)2、N(C2H5)(C3H7)(C4H7)、N(C2H5)(C3H5)(C4H9)、N(C2H3)(C3H7)(C4H9)、N(C2H5)(C3H5)(C4H7)、N(C2H3)(C3H7)(C4H7)、N(C2H3)(C3H5)(C4H9)、N(C2H3)(C3H5)(C4H7)、N(C2H3)(C4H9)2、N(C2H5)(C4H7)2、N(C2H3)(C4H7)2、N(C3H5)3、N(C3H5)2(C4H9)、N(C3H7)2(C4H7)、N(C3H5)2(C4H7)、N(C3H5)(C4H9)2、N(C3H7)(C4H7)2、N(C3H5)(C4H7)2、N(C4H7)3、NH(CH3)(C5H6)、NH(C2H5)(C5H6)、NH(C3H7)(C5H6)、NH(C4H9)(C5H6)、N(CH3)2(C5H6)、N(CH3)(C2H5)(C5H6)、N(CH3)(C3H7)(C5H6)、N(CH3)(C4H9)(C5H6)、N(C2H5)2(C5H6)、N(C2H5)(C3H7)(C5H6)、N(C2H5)(C4H9)(C5H6)、N(C3H7)2(C5H6)、N(C3H7)(C4H9)(C5H6)、N(C4H9)2(C5H6)、PH2(CH3)、PH2(C2H5)、PH2(C3H7)、PH2(C4H9)、PH(CH3)2、PH(CH3)(C2H5)、PH(CH3)(C3H7)、PH(CH3)(C4H9)、PH(C2H5)2、PH(C2H5)(C3H7)、PH(C2H5)(C4H9)、PH(C3H7)2、PH(C3H7)(C4H9)、PH(C4H9)2、P(CH3)3、P(CH3)2(C2H5)、P(CH3)2(C3H7)、P(CH3)2(C4H9)、P(CH3)(C2H5)2、P(CH3))(C2H5)(C3H7)、P(CH3)(C2H5)(C4H9)、P(CH3)(C3H7)2、P(CH3)(C3H7)(C4H9)、P(CH3)(C4H9)2、P(C2H5)3、P(C2H5)2(C3H7)、P(C2H5)2(C4H9)、P(C2H5)(C3H7)2、P(C2H5)(C3H7)(C4H9)、P(C2H5)(C4H9)2、P(C3H7)3、P(C3H7)2(C4H9)、P(C3H7)(C4H9)2、P(C4H9)3等,但不限于此。
在一些实施例中,吸附抑制剂AI可以是具有X1-QO-X2、X1-QO2-X2或(QO)X1X2X3的结构的化合物,其中,Q为氧(O)、硫(S)、硒(Se)、碲(Te)、钋(Po)、氮(N)、磷(P)、砷(As)、锑(Sb)或铋(Bi),并且X1、X2和X3各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、具有3个至12个碳的环烯基、-OR、-NR1R1'或-SR1,并且X1、X2和X3中的至少一个不是氢,并且X1、X2和X3中的至少两个可以彼此连接以形成环,并且R1和R1'各自独立地为氢、具有1个至5个碳的烷基、具有2个至5个碳的烯基、具有2个至5个碳的炔基、具有6个至10个碳的芳基、具有3个至7个碳的环烷基、或者具有3个至7个碳的环烯基。
吸附抑制剂AI可以包括例如CH3(SO)H、C2H5(SO)H、C3H7(SO)H、C4H9(SO)H、CH3-(SO)-CH3、C2H5-(SO)-CH3、C3H7-(SO)-CH3、C4H9-(SO)-CH3、C2H5-(SO)-C2H5、C3H7-(SO)-C2H5、C4H9-(SO)-C2H5、C3H7-(SO)-C3H7、C4H9-(SO)-C3H7、C4H9-(SO)-C4H9、CH2=CH-(SO)H、CH2=CH-(SO)H、CH2=CH-(SO)H、CH2=CH-(SO)H、CH2=CH-(SO)-CH3、CH2=CH-(SO)-CH3、CH2=CH-(SO)-CH3、CH2=CH-(SO)-CH3、CH2=CH-(SO)-C2H5、CH2=CH-(SO)-C2H5、CH2=CH-(SO)-C2H5、CH2=CH-(SO)-C3H7、CH2=CH-(SO)-C3H7、CH2=CH-(SO)-C4H9、C3H5-(SO)H、C3H5-(SO)H、C3H5-(SO)H、C3H5-(SO)H、C3H5-(SO)-CH3、C3H5-(SO)-CH3、C3H5-(SO)-CH3、C3H5-(SO)-CH3、C3H5-(SO)-C2H5、C3H5-(SO)-C2H5、C3H5-(SO)-C2H5、C3H5-(SO)-C3H7、C3H5-(SO)-C3H7、C3H5-(SO)-C4H9、C6H5-(SO)H、C6H5-(SO)H、C6H5-(SO)H、C6H5-(SO)H、C6H5-(SO)-CH3、C6H5-(SO)-CH3、C6H5-(SO)-CH3、C6H5-(SO)-CH3、C6H5-(SO)-C2H5、C6H5-(SO)-C2H5、C6H5-(SO)-C2H5、C6H5-(SO)-C3H7、C6H5-(SO)-C3H7、C6H5-(SO)-C4H9、CH3(SO2)H、C2H5(SO2)H、C3H7(SO2)H、C4H9(SO2)H、CH3-(SO2)-CH3、C2H5-(SO2)-CH3、C3H7-(SO2)-CH3、C4H9-(SO2)-CH3、C2H5-(SO2)-C2H5、C3H7-(SO2)-C2H5、C4H9-(SO2)-C2H5、C3H7-(SO2)-C3H7、C4H9-(SO2)-C3H7、C4H9-(SO2)-C4H9、CH2=CH-(SO2)H、CH2=CH-(SO2)H、CH2=CH-(SO2)H、CH2=CH-(SO2)H、CH2=CH-(SO2)-CH3、CH2=CH-(SO2)-CH3、CH2=CH-(SO2)-CH3、CH2=CH-(SO2)-CH3、CH2=CH-(SO2)-C2H5、CH2=CH-(SO2)-C2H5、CH2=CH-(SO2)-C2H5、CH2=CH-(SO2)-C3H7、CH2=CH-(SO2)-C3H7、CH2=CH-(SO2)-C4H9、C3H5-(SO2)H、C3H5-(SO2)H、C3H5-(SO2)H、C3H5-(SO2)H、C3H5-(SO2)-CH3、C3H5-(SO2)-CH3、C3H5-(SO2)-CH3、C3H5-(SO2)-CH3、C3H5-(SO2)-C2H5、C3H5-(SO2)-C2H5、C3H5-(SO2))-C2H5、C3H5-(SO2)-C3H7、C3H5-(SO2)-C3H7、C3H5-(SO2)-C4H9、C6H5-(SO2)H、C6H5-(SO2)H、C6H5-(SO2)H、C6H5-(SO2)H、C6H5-(SO2)-CH3、C6H5-(SO2)-CH3、C6H5-(SO2)-CH3、C6H5-(SO2)-CH3、C6H5-(SO2)-C2H5、C6H5-(SO2)-C2H5、C6H5-(SO2)-C2H5、C6H5-(SO2)-C3H7、C6H5-(SO2)-C3H7、C6H5-(SO2)-C4H9、(NO)H2(CH3)、(NO)H2(C2H5)、(NO)H2(C3H7)、(NO)H2(C4H9)、(NO)H(CH3)2、(NO)H(CH3)(C2H5)、(NO)H(CH3)(C3H7)、(NO)H(CH3)(C4H9)、(NO)H(C2H5)2、(NO)H(C2H5)(C3H7)、(NO)H(C2H5)(C4H9)、(NO)H(C3H7)2、(NO)H(C3H7)(C4H9)、(NO)H(C4H9)2、(NO)(CH3)3、(NO)(CH3)2(C2H5)、(NO)(CH3)2(C3H7)、(NO)(CH3)2(C4H9)、(NO)(CH3)(C2H5)2、(NO)(CH3)(C2H5)(C3H7)、(NO)(CH3)(C2H5)(C4H9)、(NO)(CH3)(C3H7)2、(NO)(CH3)(C3H7)(C4H9)、(NO)(CH3)(C4H9)2、(NO)(C2H5)3、(NO)(C2H5)2(C3H7)、(NO)(C2H5)2(C4H9)、(NO)(C2H5)(C3H7)2、(NO)(C2H5)(C3H7)(C4H9)、(NO)(C2H5)(C4H9)2、(NO)(C3H7)3、(NO)(C3H7)2(C4H9)、(NO)(C3H7)(C4H9)2、(NO)(C4H9)3、(PO)H2(CH3)、(PO)H2(C2H5)、(PO)H2(C3H7)、(PO)H2(C4H9)、(PO)H(CH3)2、(PO)H(CH3)(C2H5)、(PO)H(CH3)(C3H7)、(PO)H(CH3)(C4H9)、(PO)H(C2H5)2、(PO)H(C2H5)(C3H7)、(PO)H(C2H5)(C4H9)、(PO)H(C3H7)2、(PO)H(C3H7)(C4H9)、(PO)H(C4H9)2、(PO)(CH3)3、(PO)(CH3)2(C2H5)、(PO)(CH3)2(C3H7)、(PO)(CH3)2(C4H9)、(PO)(CH3)(C2H5)2、(PO)(CH3)(C2H5)(C3H7)、(PO)(CH3)(C2H5)(C4H9)、(PO)(CH3)(C3H7)2、(PO)(CH3)(C3H7)(C4H9)、(PO)(CH3)(C4H9)2、(PO)(C2H5)3、(PO)(C2H5)2(C3H7)、(PO)(C2H5)2(C4H9)、(PO)(C2H5)(C3H7)2、(PO)(C2H5)(C3H7)(C4H9)、(PO)(C2H5)(C4H9)2、(PO)(C3H7)3、(PO)(C3H7)2(C4H9)、(PO)(C3H7)(C4H9)2、(PO)(C4H9)3等,但不限于此。
在一些实施例中,吸附抑制剂AI可以是具有R-CN的结构的化合物,其中,R为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、或者具有3个至12个碳的环烯基。
吸附抑制剂AI可以包括例如HCN、CH3CN、C2H5CN、C3H7CN、C4H9CN、C5H11CN、C2H3CN、C3H5CN、C4H7CN、C6H5CN、C2HCN、C3H3CN、C4H5CN等,但不限于此。
在一些实施例中,吸附抑制剂AI可以是包括具有选自由氮(N)、氧(O)和硫(S)组成的组中的至少一者的杂芳环的化合物。例如,吸附抑制剂AI可以是选自由吡啶、嘧啶、吡嗪、吡咯、咪唑、噁唑、噻吩和它们的衍生物组成的组中的至少一种。
在一些实施例中,吸附抑制剂AI可以是具有X1-C(=O)-X2或X1-C(=S)-X2的结构的化合物,其中,X1和X2各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、具有3个至12个碳的环烯基、-OR、-NR1R1'或-SR1,并且X1和X2不同时为氢,X1和X2可以彼此连接以形成环,并且R1和R1'各自独立地为氢、具有1个至5个碳的烷基、具有2个至5个碳的烯基、具有2个至5个碳的炔基、具有6个至10个碳的芳基、具有3个至7个碳的环烷基、或者具有3个至7个碳的环烯基。
吸附抑制剂AI可以包括例如CH3CHO、C2H5CHO、C3H7CHO、C4H9CHO、CH3-CO-CH3、C2H5-CO-CH3、C3H7-CO-CH3、C4H9-CO-CH3、C2H5-CO-C2H5、C3H7-CO-C2H5、C4H9-CO-C2H5、C3H7-CO-C3H7、C4H9-CO-C3H7、C4H9-CO-C4H9、CH2=CH-CHO、CH2=CH-CHO、CH2=CH-CHO、CH2=CH-CHO、CH2=CH-CO-CH3、CH2=CH-CO-CH3、CH2=CH-CO-CH3、CH2=CH-CO-CH3、CH2=CH-CO-C2H5、CH2=CH-CO-C2H5、CH2=CH-CO-C2H5、CH2=CH-CO-C3H7、CH2=CH-CO-C3H7、CH2=CH-CO-C4H9、C3H5-CHO、C3H5-CHO、C3H5-CHO、C3H5-CHO、C3H5-CO-CH3、C3H5-CO-CH3、C3H5-CO-CH3、C3H5-CO-CH3、C3H5-CO-C2H5、C3H5-CO-C2H5、C3H5-CO-C2H5、C3H5-CO-C3H7、C3H5-CO-C3H7、C3H5-CO-C4H9、C6H5-CHO、C6H5-CHO、C6H5-CHO、C6H5-CHO、C6H5-CO-CH3、C6H5-CO-CH3、C6H5-CO-CH3、C6H5-CO-CH3、C6H5-CO-C2H5、C6H5-CO-C2H5、C6H5-CO-C2H5、C6H5-CO-C3H7、C6H5-CO-C3H7、C6H5-CO-C4H9、CH3CHS、C2H5CHS、C3H7CHS、C4H9CHS、CH3-CS-CH3C2H5-CS-CH3、C3H7-CS-CH3、C4H9-CS-CH3、C2H5-CS-C2H5、C3H7-CS-C2H5、C4H9-CS-C2H5、C3H7-CS-C3H7、C4H9-CS-C3H7、C4H9-CS-C4H9、CH2=CH-CHS、CH2=CH-CHS、CH2=CH-CHS、CH2=CH-CHS、CH2=CH-CS-CH3、CH2=CH-CS-CH3、CH2=CH-CS-CH3、CH2=CH-CS-CH3、CH2=CH-CS-C2H5、CH2=CH-CS-C2H5、CH2=CH-CS-C2H5、CH2=CH-CS-C3H7、CH2=CH-CS-C3H7、CH2=CH-CS-C4H9、C3H5-CHS、C3H5-CHS、C3H5-CHS、C3H5-CHS、C3H5-CS-CH3、C3H5-CS-CH3、C3H5-CS-CH3、C3H5-CS-CH3、C3H5-CS-C2H5、C3H5-CS-C2H5、C3H5-CS-C2H5、C3H5-CS-C3H7、C3H5-CS-C3H7、C3H5-CS-C4H9、C6H5-CHS、C6H5-CHS、C6H5-CHS、C6H5-CHS、C6H5-CS-CH3、C6H5-CS-CH3、C6H5-CS-CH3、C6H5-CS-CH3、C6H5-CS-C2H5、C6H5-CS-C2H5、C6H5-CS-C2H5、C6H5-CS-C3H7、C6H5-CS-C3H7、C6H5-CS-C4H9等,但不限于此。
上面的吸附抑制剂AI可以相对均匀地吸附在衬底100的整个表面上。图3A中所示的衬底100设置有包括凹陷侧壁105w和凹陷底部105b的凹陷部105,并且当凹陷部105的纵横比大时,吸附抑制剂AI不会以与凹陷部105的入口相同的程度转移到凹陷底部105b。即,吸附抑制剂AI在邻近(例如,位于)凹陷部105的入口的吸附密度可以大于吸附抑制剂AI在凹陷部105b的底部的吸附密度。另外,为了诸如表面能的物理化学原因,吸附抑制剂AI的吸附可以优选地在衬底100的上表面与凹陷部105交汇的边界附近。
在一些实施例中,可以供应吸附抑制剂AI大约0.05秒至大约3秒、大约0.06秒至大约2秒、大约0.08秒至大约1.5秒、大约0.1秒至大约1秒、或者大约0.2秒至大约0.8秒。当吸附抑制剂AI的供应时间太短时,可能无法充分地形成吸附抑制剂AI的层,因此,会降低供应吸附抑制剂AI的效果。当吸附抑制剂AI的供应时间太长时,供应吸附抑制剂AI的效果饱和,这在经济上是不利的。
吹扫过量的吸附抑制剂AI
之后,可以用吹扫气体吹扫过量的吸附抑制剂AI,以便于从衬底100的表面去除过量的吸附抑制剂AI(S22)。在步骤S22期间,可以吹扫不吸附(例如,不化学吸附)在衬底100上的吸附抑制剂AI。不吸附在衬底100上的吸附抑制剂AI可以称作过量的吸附抑制剂AI。
吹扫气体可以包括例如氦(He)、氖(Ne)、氩(Ar)、氮(N2)、氨(NH3)、氢(H2)等,并且可以通过考虑吹扫时的物理环境和要被吹扫的吸附抑制剂AI的化学特性来进行选择。
例如,可以执行吹扫大约0.1秒至大约5秒。在一些实施例中,可以执行吹扫大约0.2秒至大约4秒、大约0.4秒至大约3秒、或者大约0.7秒至大约2秒。当吹扫时间太短时,可能无法充分地去除过量的吸附抑制剂AI。当吹扫时间太长时,可以降低吸附吸附抑制剂AI的效果。
金属前体的吸附
参照图2和图3B,可以将金属前体MP供应在衬底100上以吸附在衬底100上(S23)。
在此情况下,金属前体MP不被吸附到已经吸附了吸附抑制剂AI的吸附位点。即,金属前体MP被吸附到未吸附吸附抑制剂AI的空的吸附位点。
如果吸附抑制剂AI尚未被吸附在衬底100的表面上,则金属前体MP将强烈地吸附在具有低传质阻力的凹陷部105的入口附近,并且将非常少地吸附在具有高传质阻力的凹陷底部105b上。然而,由于吸附抑制剂AI吸附在凹陷部105的入口附近,因此,可以大大地减少局部地集中和吸附金属前体MP的现象。
另外,因为吸附抑制剂AI吸附在凹陷部105的入口附近,因此未吸附的金属前体MP可以更多地扩散到凹陷部的底部105b以吸附到凹陷部的底部105b。即,还可以减小凹陷部105的入口附近与凹陷部的底部105b之间的金属前体MP的吸附密度之差,使得可以实现更均匀的吸附。
在一些实施例中,金属前体MP可以包括钛(Ti)前体。例如,钛前体可以包括钛化合物,诸如四氯化钛(TiCl4)、四氟化钛(TiF4)、四(异丙氧基)钛(Ti(O-iPr)4)、环戊二烯基钛、双(异丙氧基)双(2,2,6,6-四甲基-3,5-庚二酮酸)钛(Ti(O-iPr)2(thd)2)、四二甲氨基钛(Ti[N(CH3)2]4,TDMAT)、四(二乙氨基)钛((Et2N)4Ti,TEMAT)或者它们的衍生物。然而,本发明构思不限于此。
在一些实施例中,金属前体MP可以包括钽(Ta)前体。钽前体可以包括例如钽化合物,诸如五氯化钽(TaCl5)、五氟化钽(TaF5)、五二乙基氨基钽(Ta(N(CH3)2)5,PDMAT)、五二乙基氨基钽(PDEAT;Ta(NEt2)5)、五(甲基乙基酰胺)钽(PMEAT;Ta(NMeEt)5)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT,tBuNTa(NMe2)3)、叔丁基亚氨基三(二乙氨基)钽(TBTDET,tBuNTa(NEt2)3)、叔丁基亚氨基三(甲基乙基氨基)钽(TBTMET,tBuNTa(NMeEt)3)、乙基酰亚胺基-三(二甲基氨基)钽((EtN)Ta(NMe2)3)、乙基酰亚胺基-三(二乙基氨基)钽((EtN)Ta(NEt2)3)、乙基酰亚胺基-三(乙基甲基氨基)钽((EtN)Ta[N(Et)Me]3)、叔戊基酰亚胺基-三(二甲基氨基)钽((tAmylN)Ta(NMe2)3,(其中,tAmyl为叔戊基(CH3CH2C(CH3)2-)))、叔戊基酰亚胺基-三(二乙基氨基)钽((tAmylN)Ta(NEt2)3)、叔戊基酰亚胺基-三(乙基甲基氨基)钽((tAmylN)Ta([N(Et)Me]3)、或者它们的衍生物。然而,本发明构思不限于此。
在一些实施例中,金属前体MP可以包括钨(W)前体。钨前体可以包括例如钨化合物,诸如双(叔丁基酰亚胺基)双(叔丁基氨基)钨((tBuN)2W(N(H)tBu)2)、双(叔丁基酰亚胺基)双(二甲基氨基)钨((tBuN)2W(NMe2)2)、双(叔丁基酰亚胺基)双(二乙基氨基)钨((tBuN)2W(NEt2)2)、双(叔丁基酰亚胺基)双(乙基甲基氨基)钨((tBuN)2W(NEtMe)2)、六氟化钨、六氯化钨、或者它们的衍生物。然而,本发明构思不限于此。
在一些实施例中,金属前体MP可以包括钒(V)前体。钒前体可以包括例如钒化合物,诸如四氯化钒(VCl4)、五氯化钒(VCl5)、五氟化钒(VF5)、四二甲基氨基钒(V(N(CH3)2)4,TDMAV)、四二乙基氨基钒(TDEAV;V(NEt2)4)、四(甲基乙基氨基)钒(TMEAV;V(NMeEt)4)、双(环戊二烯基)钒(Cp2V)、双(环戊二烯基)二氯化钒(Cp2VCl2)、或者它们的衍生物。然而,本发明构思不限于此。
在一些实施例中,金属前体MP可以包括铌(Nb)前体。铌前体可以包括例如铌化合物,诸如五氯化铌(NbCl5)、五氟化铌(NbF5)、五二甲基氨基铌(Nb(N(CH3)2)5,PDMAN)、五二乙基氨基铌(PDEAN;Nb(NEt2)5)、五(甲基乙基氨基)铌(PMEAN;Nb(NMeEt)5)、叔丁基亚氨基三(二甲基氨基)铌(TBTDMN,tBuNNb(NMe2)3)、叔丁基亚氨基三(二乙基氨基)铌(TBTDEN,tBuNNb(NEt2)3)、叔丁基亚氨基三(甲基乙基氨基)铌(TBTMEN,tBuNNb(NMeEt)3)、乙基酰亚胺基-三(二甲基)氨基)铌((EtN)Nb(NMe2)3)、乙基酰亚胺基-三(二乙基氨基)铌((EtN)Nb(NEt2)3)、乙基酰亚胺基-三(乙基甲基氨基)铌((EtN)Nb[N(Et)Me]3)、叔戊基酰亚胺基-三(二甲基氨基)铌((tAmylN)Nb(NMe2)3,其中,tAmyl为叔戊基(CH3CH2C(CH3)2-))、叔戊基酰亚胺基-三(二乙基氨基)铌((tAmylN)Nb(NEt2)3)、叔戊基酰亚胺基-三(乙基甲基氨基)铌((tAmylN)Nb([N(Et)Me]3)、或者它们的衍生物。然而,本发明构思不限于此。
在一些实施例中,可以供应金属前体MP大约0.05秒至大约3秒、大约0.06秒至大约2秒、大约0.08秒至大约1.5秒、大约0.1秒至大约1秒、或者大约0.2秒至大约0.8秒。当金属前体MP的供应时间太短时,可能无法充分地供应金属前体MP,因此,可以缓慢地形成材料层。当金属前体MP的供应时间太长时,供应金属前体MP的效果饱和,这在经济上是不利的。
吹扫过量的金属前体MP
之后,可以用吹扫气体吹扫过量的金属前体MP以从衬底100的表面去除过量的金属前体MP(S24)。在步骤S24期间,可以吹扫不吸附(例如,不化学吸附)在衬底100上的金属前体MP。不吸附在衬底100上的金属前体MP可以被称作过量的金属前体MP。
吹扫气体可以包括例如氦(He)、氖(Ne)、氩(Ar)、氮(N2)、氨(NH3)、氢(H2)等,并且可以通过考虑吹扫时的物理环境和要被吹扫的金属前体MP的化学特性来进行选择。
例如,可以执行吹扫大约0.1秒至大约5秒。在一些实施例中,可以执行吹扫大约0.2秒至大约4秒、大约0.4秒至大约3秒、或者大约0.7秒至大约2秒。当吹扫时间太短时,可能无法充分地去除过量的金属前体MP。当吹扫时间太长时,吸附的金属前体MP会部分解吸,并且会降低吸附金属前体MP的效果。
反应剂的供应
参照图2和图3C,可以通过将反应剂供应到反应腔室来形成材料层(S25)。具体地,供应到反应腔室的反应剂与金属前体反应以形成材料层。例如,反应剂可以包括选自由氮(N2)、氨(NH3)、肼(N2H4)、二亚胺(N2H2)、N2O、NO、NO2、等离子体N2、等离子体NH3、等离子体H2和NF3组成的组中的一种或多种。
随着反应剂与金属前体反应,可以从衬底100的表面去除吸附抑制剂AI。尽管本发明构思不受具体理论限制,但是反应剂首先吸附在衬底100的表面上,并且随后沿着衬底100的表面移动,并且使相对弱地键合到衬底100的吸附抑制剂AI解吸,并且与金属前体MP碰撞以与其反应。
反应剂与金属前体MP的金属元素反应以转化为金属氮化物,并且解离并去除诸如卤素元素或键合到金属前体的金属元素的烃配体的部分。
吹扫过量的反应剂
之后,可以可选地用吹扫气体吹扫过量的金属前体MP以从衬底100的表面去除过量的反应剂(S26)。在步骤S26期间,可以吹扫不与金属前体MP反应的反应剂。不与金属前体MP反应的反应剂可以被称作过量的反应剂。
吹扫气体可以包括例如氦(He)、氖(Ne)、氩(Ar)、氮(N2)、氨(NH3)、氢(H2)等,并且可以通过考虑吹扫时的物理环境和要被吹扫的反应剂的化学特性来进行选择。
例如,可以执行吹扫大约0.1秒至大约5秒。在一些实施例中,可以执行吹扫大约0.2秒至大约4秒、大约0.4秒至大约3秒、或者大约0.7秒至大约2秒。当吹扫时间太短时,可能无法充分地去除过量的反应剂。当吹扫时间太长时,吹扫反应剂的效果饱和,这在经济上是不利的。
吸附抑制剂AI部分地占据衬底100的表面,因此,与当未使用吸附抑制剂AI时相比,减少了其中金属前体MP吸附在衬底100的表面上的面积(即,待吸附的金属前体MP的量)。这导致诸如金属氮化物的材料层的生长速率降低。然而,由于存在吸附抑制剂AI,导致即使在各种形貌和高纵横比下材料层也可以更均匀地生长。
图4A至图4D是概念性地示出当不使用吸附抑制剂AI时材料层的生长的示意图。
参照图4A,通过在衬底100上供应金属前体MP来形成金属前体MP的单层。
此时,由于金属前体MP到凹陷部105中的扩散阻力,邻近(例如,位于)凹陷部105的入口的金属前体MP的吸附密度高于凹陷部105内部(具体地,其底表面)的金属前体MP的吸附密度。另外,在表面能方面,金属前体MP在凹陷部的入口比在凹陷部105内部或衬底100的其它上表面具有更高的吸附偏好,因此,金属前体MP的吸附密度在凹陷部105的入口比在凹陷部105内部(具体地,其底表面)更高。
可以通过以下步骤来执行金属前体MP的单层:在衬底100上供应金属前体MP,并且随后吹扫金属前体MP(例如,未吸附在衬底100上的金属前体MP)。
参照图4B,可以通过在衬底100上供应反应剂来获得诸如金属氮化物的材料层。
当供应参照图3C描述的反应剂时,金属前体MP的单层可以转化为金属氮化物。之后,可以根据需要吹扫过量的反应剂材料以将其去除。
如图4B中所示,金属前体MP在凹陷部105的入口的高吸附密度导致金属氮化物在凹陷部105的入口的高沉积密度。
之后,通过重复将图4A和图4B的工艺设为一个循环的沉积循环来生长金属氮化物MN的材料层。在下文中,要参照图4C和图4D描述的工艺对应于参照图4A和图4B描述的沉积循环之后执行的第二沉积循环。
参照图4C,通过在衬底100上供应金属前体MP来形成金属前体MP的单层。
由于参照图4A的原因,金属前体MP的吸附优选在凹陷部105的入口,因此金属前体MP在凹陷部105的入口的吸附密度高于其在凹陷部105内部(具体地,其底表面)的吸附密度。
结果,存在这样的区域:其中,金属氮化物MN和金属前体MP在凹陷部105的入口形成两个层,而金属氮化物MN和金属前体(MP)在凹陷部105的下部和底表面中甚至没有完全形成一层。
参照图4D,可以通过在衬底100上供应反应剂来获得诸如金属氮化物的材料层。
如参照图4B描述的,吸附在衬底100上的金属前体MP被转化为诸如金属氮化物的材料层。结果,金属氮化物MN被形成为在凹陷部105的入口附近具有两个层,而金属氮化物MN在凹陷部105的下部和底表面中甚至没有完全形成一个层。
如上所述,当未使用图3A至图3C中所示的吸附抑制剂AI时,可以加速材料层(即,金属氮化物MN)的生长速率,但是会降低诸如台阶覆盖率的沉积均匀性。
图5是概念性地示出根据本发明构思的一些实施例的执行形成材料层的方法的半导体设备1的示意图。
参照图5,半导体设备1可以包括工艺材料供应系统20a,其可以将吸附抑制剂AI、金属前体MP、吹扫气体19和反应剂18独立地供应到反应腔室10中。
工艺材料供应系统20a可以被配置为将吸附抑制剂AI、金属前体MP、吹扫气体19和反应剂18彼此独立地并且不同时地供应到反应腔室10中。在一些实施例中,工艺材料供应系统20a可以被配置为将吸附抑制剂AI、金属前体MP、吹扫气体19和反应剂18中的两种或更多种同时供应到反应腔室10中。衬底100可以装入反应腔室10中并且从反应腔室10卸下。
工艺材料供应系统20a可以包括金属前体供应设备30a、吸附抑制剂供应设备60a、吹扫气体供应设备90a和反应剂供应设备80a。金属前体供应设备30a可以将金属前体MP供应到反应腔室10中。
金属前体供应设备30a可以包括金属前体存储容器40和汽化器50。金属前体存储容器40和汽化器50可以通过管道42连接,流动控制设备44可以设置在管道42中。汽化器50和反应腔室10可以通过管道52连接,流动控制设备54可以设置在管道52中。
金属前体存储容器40中的金属前体MP可以移动到汽化器50并且被汽化器50汽化。另外,被汽化器50汽化的金属前体MP可以被供应到反应腔室10。
吸附抑制剂供应设备60a可以将吸附抑制剂AI供应到反应腔室10中。吸附抑制剂AI可以存储在吸附抑制剂供应设备60a中,吸附抑制剂AI可以通过管道62从吸附抑制剂供应设备60a供应到反应腔室10中。在一些实施例中,用于供应吸附抑制剂AI的罐可以设置在吸附抑制剂供应设备60a中,并且该罐可以被配置为持续地供应吸附抑制剂AI。
吸附抑制剂供应设备60a和反应腔室10可以通过管道62彼此连接,并且管道62可以设置有能够控制吸附抑制剂AI的流动速率的流动控制设备64。
吸附抑制剂供应设备60a可以将处于液态的吸附抑制剂AI供应到流动控制设备64中,并且在通过流动控制设备64将流动速率控制为恒定之后,通过汽化器70a汽化吸附抑制剂AI,并且由此可以均匀地控制供应到反应腔室10的吸附抑制剂AI的量。在一些实施例中,吸附抑制剂AI可以在通过使用鼓泡器(而不是汽化器70a)汽化之后被供应到反应腔室10。供应到反应腔室10的吸附抑制剂AI的温度可以为室温至大约80℃。
汽化器70a和反应腔室10可以通过管道62彼此连接,并且流动速率控制设备65可以设置在管道62中。可以通过流动速率控制设备65更均匀地控制供应到反应腔室10的吸附抑制剂AI的量。
反应剂供应设备80a可以将反应剂18供应到反应腔室10中。反应剂18可以存储在反应剂供应设备80a中,反应剂18可以通过管道82从反应剂供应设备80a供应到反应腔室10中。反应剂供应设备80a和反应腔室10可以通过管道82彼此连接,并且管道82可以设置有能够控制反应剂18的流动速率的流动控制设备84。
吹扫气体供应设备90a可以将吹扫气体19供应到反应腔室10中。吹扫气体19可以存储在吹扫气体供应设备90a中,并且吹扫气体19可以通过管道92从吹扫气体供应设备90a供应到反应腔室10中。吹扫气体供应设备90a和反应腔室10可以通过管道92彼此连接,并且管道92可以设置有能够控制吹扫气体19的流动速率的流动速率控制设备94。
管道42、52、62、82和92可以是流体可以通过其流动的管道,流动控制设备44、54、64、84和94可以包括可以控制流体的流动的阀门系统。
吸附抑制剂AI、金属前体MP和反应剂18可以各自通过使用载体气体来传输。载体气体是惰性气体,并且可以包括氩、氦、氮、氖等,但不限于此。可以通过考虑诸如材料层的沉积速率和要被传输的材料的蒸气压或温度的因素来适当地选择载体气体的流动速率,并且载体气体的流动速率可以为例如大约200标准立方厘米每分钟(sccm)至大约1300sccm。
图6A至图6D是示出根据本发明构思的一些实施例的供应用于形成材料层的吸附抑制剂AI、金属前体MP、吹扫气体19和反应剂18的时序的示意图。可以按照图6A至图6D中的脉冲方式供应供应材料中的每一种。每种供应材料的供应流动速率和供应时间可以不与图6A至图6D中所示的脉冲的高度和宽度成比例。另外,尽管图6A至图6D中未具体示出,但是可以在各个供应材料的脉冲之间供应用于吹扫的吹扫气体以去除反应腔室内部的反应副产物和/或过量的供应材料。
参照图6A,在衬底100上供应吸附抑制剂AI之后,可以供应金属前体MP,并且随后可以供应反应剂18。在此情况下,吹扫气体19的脉冲可以在吸附抑制剂AI的脉冲、金属前体MP的脉冲和反应剂18的脉冲之间。另外,吸附抑制剂AI的脉冲、金属前体(MP)的脉冲和反应剂18的脉冲可以形成一个沉积循环。
首先,当在衬底100上供应吸附抑制剂AI的脉冲时,供应到衬底100的表面上的吸附抑制剂AI可以通过化学吸附和/或物理吸附吸附到衬底100上。之后,用吹扫气体19执行吹扫以去除物理吸附的吸附抑制剂AI或过量的吸附抑制剂AI,因此,可以获得化学吸附在衬底100上的吸附抑制剂AI的单层。
另外,吸附抑制剂AI可以以更高的吸附密度吸附在材料更优选的位置。
接着,当在衬底100上供应金属前体MP的脉冲时,金属前体MP可以通过避开吸附了吸附抑制剂AI的位置而吸附到衬底100上。之后,用吹扫气体19执行吹扫以去除物理吸附的金属前体MP或过量的金属前体MP,并且因此可以获得化学吸附在衬底100上的金属前体MP的单层。
因为吸附抑制剂AI以高吸附密度吸附在材料吸附更优选的位置,所以金属前体MP在该位置的吸附密度可以低于其在不存在吸附抑制剂AI时的吸附密度。另外,因为吸附抑制剂AI以低吸附密度吸附在材料吸附不太优选的位置,所以金属前体MP在该位置的吸附密度可以不受影响。因此,金属前体MP在衬底100的整个表面上的吸附密度可以更均匀。
之后,当反应剂18被供应到反应腔室中时,反应剂18可以与金属前体MP反应以形成金属氮化物层。之后,当用吹扫气体19执行吹扫时,可以去除过量的反应剂18或反应副产物。
尽管本发明构思不受具体理论限制,但是反应剂18首先吸附在衬底100的表面上,并且随后沿着衬底100的表面移动,并且与金属前体MP碰撞以生成金属氮化物。此时,吸附的反应剂18可以与吸附抑制剂AI碰撞,并且从而吸附抑制剂AI可以从衬底100的表面解吸。
将上述工艺设为一个沉积循环,并且可以重复该沉积循环,直至金属氮化物层形成到期望的厚度。当重复沉积循环时,可以在提供吸附抑制剂AI的步骤之后并且在供应反应剂18的步骤之前执行提供金属前体MP的步骤。
图6B是示出根据本发明构思的一些实施例的供应用于形成材料层的吸附抑制剂AI、金属前体MP、吹扫气体19和反应剂18的时序的图。
参照图6B,在衬底100上供应金属前体MP之后,可以供应反应剂18,并随后可以供应吸附抑制剂AI。在此情况下,吹扫气体19的脉冲可以在金属前体MP的脉冲与反应剂18的脉冲之间。另外,金属前体MP的脉冲、反应剂18的脉冲和吸附抑制剂AI的脉冲可以形成一个沉积循环。
首先,当将金属前体MP的脉冲供应到衬底100时,可以通过化学吸附和物理吸附将衬底100的表面上的金属前体MP吸附到衬底100上。之后,用吹扫气体19执行吹扫以去除物理吸附的金属前体MP或过量的金属前体MP,并且因此可以获得化学吸附在衬底100上的金属前体MP的单层。
接着,当将反应剂18供应到反应腔室中时,反应剂18可以与金属前体MP反应以形成金属氮化物层。之后,当用吹扫气体19执行吹扫时,可以去除过量的反应剂18或反应副产物。
之后,当将吸附抑制剂AI的脉冲供应到衬底100时,供应到衬底100的表面上的吸附抑制剂AI可以通过化学吸附和物理吸附吸附到衬底100上。之后,用吹扫气体19执行吹扫以去除物理吸附的吸附抑制剂AI或过量的吸附抑制剂AI,并且因此可以获得化学吸附在衬底100上的吸附抑制剂AI的单层。
另外,吸附抑制剂AI可以以更高的吸附密度吸附在材料更优选的位置。
将上述工艺设为一个沉积循环,并且可以重复该沉积循环,直至金属氮化物层形成为期望的厚度。具体地,下一个循环的金属前体MP可以通过避开由于先前的循环中吸附的吸附抑制剂AI而吸附了吸附抑制剂AI的位置来吸附在衬底100上,并且因此可以如图6A的示例中描述的那样形成更均匀的金属氮化物层。
图6C是示出根据本发明构思的一些实施例的供应用于形成材料层的吸附抑制剂AI、金属前体MP、吹扫气体19和反应剂18的时序的图。
参照图6C,在衬底100上供应金属前体MP之后,可以供应反应剂18,并且可以供应吸附抑制剂AI。在此情况下,吹扫气体19的脉冲可以在金属前体MP的脉冲与反应剂18的脉冲之间。另外,金属前体MP的脉冲、反应剂18的脉冲和吸附抑制剂AI的脉冲可以形成一个沉积循环。
首先,当将金属前体MP的脉冲供应到衬底100时,衬底100的表面上的金属前体MP可以通过化学吸附和物理吸附吸附到衬底100上。之后,用吹扫气体19执行吹扫以去除物理吸附的金属前体MP或过量的金属前体MP,并且因此可以获得化学吸附在衬底100上的金属前体MP的单层。
接着,当将反应剂18供应到反应腔室中时,反应剂18可以与金属前体MP反应以形成金属氮化物层。之后,可以可选地用吹扫气体19执行吹扫,并且可以去除过量的反应剂18或反应副产物。
当在维持反应剂18的供应的同时将吸附抑制剂AI的脉冲供应到衬底100时,吸附抑制剂AI可以通过化学吸附和物理吸附吸附到衬底100上,同时金属前体MP被转变为金属氮化物。
之后,用吹扫气体19执行吹扫以去除物理吸附的吸附抑制剂AI或过量的吸附抑制剂AI,并且因此可以获得化学吸附在衬底100上的吸附抑制剂AI的单层。
将上述工艺设为一个沉积循环,并且可以重复该沉积循环直至金属氮化物层形成为期望的厚度。具体地,下一个循环的金属前体MP可以通过避开由于先前的循环中吸附的吸附抑制剂AI而吸附了吸附抑制剂AI的位置来吸附在衬底100上,并且因此可以如图6A的示例中描述的一样形成更均匀的金属氮化物层。
在一些实施例中,提供吸附抑制剂AI的时间段可以与提供反应剂18的时间段完全重叠。
图6D是示出了根据本发明构思的一些实施例的供应用于形成材料层的吸附抑制剂AI、金属前体MP、吹扫气体19和反应剂18的时序的图。
参照图6D,在衬底100上供应金属前体MP之后,可以供应吸附抑制剂AI,并且随后可以供应反应剂18。在此情况下,吹扫气体19的脉冲可以在金属前体MP的脉冲、吸附抑制剂AI的脉冲和反应剂18的脉冲之间。另外,金属前体MP的脉冲、吸附抑制剂AI的脉冲和反应剂18的脉冲可以形成一个沉积循环。
首先,当将金属前体MP的脉冲供应到衬底100时,衬底100的表面上的金属前体MP可以通过化学吸附和物理吸附吸附到衬底100上。之后,用吹扫气体19执行吹扫以去除物理吸附的金属前体MP或过量的金属前体MP,并且因此可以获得化学吸附在衬底100上的金属前体MP的单层。
另外,金属前体MP可以以更高的吸附密度吸附在材料更优选的位置。
接着,当在衬底100上供应吸附抑制剂AI的脉冲时,吸附抑制剂AI可以通过避开吸附了金属前体MP的位置而吸附到衬底100上。之后,用吹扫气体19执行吹扫以去除物理吸附的吸附抑制剂AI或过量的吸附抑制剂AI,并且因此可以获得化学吸附在衬底100上的吸附抑制剂AI的单层。
之后,当将反应剂18供应到反应腔室中时,反应剂18可以与金属前体MP反应以形成金属氮化物层。之后,当用吹扫气体19执行吹扫时,可以去除过量的反应剂18或反应副产物。
将上述工艺设为一个沉积循环,并且可以重复该沉积循环直至金属氮化物层形成为期望的厚度。当重复沉积循环时,可以在提供反应剂18的步骤之后并且在供应吸附抑制剂AI的步骤之前执行提供金属前体MP的步骤。
参照图6A至图6D描述的实施例是示例,并且它们的组合以及本领域技术人员可以从图6A至图6D的实施例容易推导出的供应循环显然处于本发明构思的范围内。
图7A至图7H是示出根据本发明构思的一些实施例的制造半导体装置的方法的侧面剖视图。
参照图7A,可以在衬底210上方形成层间绝缘层211、接触插塞212和蚀刻终止层213,并且可以在其上形成用于形成电容器的第一模层214。可以在第一模层214上形成支撑层232L。在一些实施例中,支撑层232L可以具有大约10nm至大约500nm的厚度。可以在支撑层232L上形成用于使支撑层232L图案化的掩模图案240。掩模图案240可以被形成为具有对应于随后要形成的支撑图案的图案。掩模图案240可以包括例如光致抗蚀剂图案。
衬底210可以包括诸如硅衬底、锗衬底或硅锗衬底的半导体衬底。然而,衬底210不限于此,并且还可以包括以上参照图2描述的衬底中的任意一种。
尽管附图中未示出,但是可以在衬底210上形成彼此交叉的多条字线和位线,并且这些线(例如,多条字线和位线)可以用层间绝缘层211覆盖。掺杂区域可以布置在衬底210中的每条字线的两侧上,并且接触插塞212中的每一个可以连接到一个掺杂区域。另外,接触插塞212可以电连接到对应的开关元件。开关元件中的每一个可以包括诸如晶体管或二极管的有源元件。
层间绝缘层211可以包括介电材料。例如,层间绝缘层211可以由氧化物、氮化物和/或氮氧化物形成。层间绝缘层211还可以形成为单个层,或者还可以形成为两个或更多个层。
可以在衬底210的有源区域上形成穿过层间绝缘层211的接触插塞212。接触插塞212可以由选自由以下材料组成的组中的至少一种形成:半导体材料,诸如掺杂的多晶硅;金属,诸如钨(W)、钛(Ti)、钽(Ta)、铜(Cu)或铝(Al);金属氮化物,诸如氮化钨(WN)、氮化钛(TiN)或氮化钽(TaN);金属氮化硅,诸如氮化钛硅(TiSiN)或氮化钨硅(WSiN);以及金属硅化物,诸如硅化钨(WSi)。
可以在蚀刻终止层213上形成第一模层214。第一模层214可以包括氧化物层、氮化物层和氮氧化物层中的至少一个。
尽管未示出,但是还可以在第一模层214与蚀刻终止层213之间形成缓冲层(未示出)。缓冲层可以包括氧化物层和氮化物层中的至少一个。
支撑层232L可以由针对第一模层214具有蚀刻选择率的材料形成。例如,当鲎变形细胞溶解物(limulus amoebocyte lysate,LAL)剥离工艺用于去除第一模层214的全部或一部分时,第一模层214可以由针对LAL具有低蚀刻率和介电性能的材料形成。
当第一模层214由SiO2、SiGe、Si和碳基材料中的一种或多种形成时,支撑层232L可以由选自SiN、SiCN、TaO和TiO2之中的一种形成。然而,本发明构思不限于这些材料。
参照图7B,可以通过使用掩模图案240作为蚀刻掩模来针对暴露的支撑层232L执行各向异性蚀刻以形成支撑层图案232P,可以通过各向异性蚀刻在支撑层图案232P中暴露出第一模层214的一部分。
参照图7C,可以在第一模层214和支撑层图案232P上形成第二模层215。第二模层215可以由与第一模层214的材料相同的材料形成,或者可以被形成为具有与第一模层214的蚀刻率相似的蚀刻率,或者可以由这样的材料形成,该材料(例如在通过LAL剥离工艺去除第一模层214和第二模层215时)在LAL对第二模层215的蚀刻率方面相比于第一模层214的蚀刻率具有10%或更小的差。第二模层215可以形成为具有足以覆盖全部支撑层图案232P的厚度。例如,第二模层215可以被形成为具有至少50nm的厚度。另外,第一模层214和第二模层215的厚度之和可以为大约
Figure BDA0003140417700000251
至大约
Figure BDA0003140417700000252
参照图7D,蚀刻第二模层215、支撑层图案232P、第一模层214和蚀刻终止层213直至在要形成下电极的位置暴露出接触插塞212,并且因此形成多个开口H。开口H可以通过支撑层图案232P彼此连接。然而,开口H不必必须彼此连接。
开口H可以暴露出接触插塞212的上表面。可以通过以下步骤来形成开口H:在第二模层215上形成用于限定开口H的掩模图案;以及通过使用掩模图案作为蚀刻掩模来蚀刻第一模层214、第二模层215和支撑层图案232P。根据一些实施例,可以各自以孔形状形成开口H。
开口H可以各自具有极高的纵横比(例如,大约10至大约200)。
另外,可以非常密集地形成开口H,并且例如,开口H之间的间隙可以为开口H的内径的大约0.6倍至大约1.5倍。
参照图7E,在所得衬底210的前表面上(即,开口H内部和第二模层215上)沉积导电材料之后,各个开口H中的导电材料被分离以形成多个下电极220。可以通过用导电材料填充开口H的内部来形成下电极220。下电极220可以电连接到接触插塞212。
如上所述,开口H可以各自具有极高的纵横比,并且因此难以填充开口H的内部而没有空隙。然而,可以通过使用参照图1至图3C描述的方法来均匀地(即,非常共形地)形成导电材料层,并且因此可以用导电材料填充开口H的内部而没有空隙。
例如,下电极220可以各自由以下材料形成:半导体材料,诸如掺杂的多晶硅;金属,诸如钌(Ru)、铱(Ir)、钨(W)、钼(Mo)、钛(Ti)、钒(V)、铌(Nb)和/或钽(Ta);导电金属氮化物层,诸如氮化钛(TiN)、氮化钒(VN)、氮化铌(NbN)、氮化钽(TaN)或氮化钨(WN);导电金属氧化物,诸如氧化铱(IrO);以及/或者它们的混合物。下电极220可以各自形成为单个层,或者可以具有其中堆叠两个或更多个层的结构。
这里,尽管示出和描述了柱型的下电极220,但是技术人员将理解,本发明构思可以等同地应用于单圆柱存储体(OCS)型或凹型的下电极。
图8是示出各自具有作为敞开的圆柱形的OCS形的下电极220a的侧面剖视图。
参照图8,当在图7D的开口H和第二模层215的内壁上共形地沉积导电材料至预定厚度之后,各个开口H的内壁上的导电材料被分离以形成多个下电极220a。通过使用参照图1至图3C描述的方法,可以均匀地(即,非常共形地)形成导电材料层。例如,OCS形的下电极220a的最薄部分的厚度与其最厚部分的厚度之比可以大约0.80至大约1.0。在一些实施例中,该比可以为大约0.80至大约0.99、大约0.82至大约0.98、大约0.84至大约0.97、大约0.86至大约0.96、大约0.88至大约0.95、或者大约0.90至大约0.94,或者可以在这些数值之间的某个范围内。
参照图7F,在形成下电极220之后,去除第一模层214和第二模层215。例如,可以通过使用利用氢氟酸或者包括氟化铵(NH4F)、氢氟酸(HF)和水的LAL的剥离工艺方法来去除第一模层214和第二模层215。因此,如上所述,可以选择形成支撑层图案232P的材料以使其针对LAL具有比第一模层214和第二模层215的蚀刻率更低的蚀刻率。下电极220中的至少一些可以被支撑图案232支撑。在图7F中,支撑图案232形成在低于柱结构(即,柱形下电极220)的上端的高度处。在一些实施例中,支撑图案232可以与下电极220的端部形成在同一高度。
参照图7G,在去除第一模层214和第二模层215之后,在下电极220上共形地形成电容器介电层222。电容器介电层222可以包括例如金属氧化物和/或准金属氧化物。具体地,电容器介电层222可以由例如氧化硅、氧化铪、氧化钽、氧化钛、氧化锆、氧化铝、SrTiO3、(Ba,Sr)TiO3等形成,但不限于此。
参照图7H,形成电容器22可以通过在电容器介电层222上形成上电极224来完成。例如,上电极224可以各自由以下材料形成:半导体材料,诸如掺杂的多晶硅;金属,诸如钌(Ru)、铱(Ir)、钨(W)、钼(Mo)、钛(Ti)、钒(V)、铌(Nb)和/或钽(Ta);导电金属氮化物层,诸如氮化钛(TiN)、氮化钒(VN)、氮化铌(NbN)、氮化钽(TaN)或氮化钨(WN);导电金属氧化物,诸如氧化铱(IrO);以及/或者它们的混合物。上电极224可以各自形成为单个层,或者可以具有其中堆叠两个或更多个层的结构。
上电极224的材料还可以与下电极220的材料相同或不同。
可以在电容器介电层222的表面上共形地形成上电极224以具有基本恒定的厚度。在一些实施例中,上电极224的最薄部分的厚度与其最厚部分的厚度之比可以为大约0.80至大约1.0。在一些实施例中,该比可以为大约0.850至大约0.999、大约0.900至大约0.999、或者大约0.950至大约0.999,或者可以在这些数值之间的范围内。
如上所述,可以非常密集地形成开口H(参见图7D)。因此,难以填充下电极220之间的空间而没有空隙。然而,可以通过使用参照图1至图3C描述的方法来均匀地(即,非常共形地)形成形成上电极224的导电材料层,并且因此可以用导电材料填充下电极220之间的空间而没有空隙。
另外,当使用吸附抑制剂AI以形成下电极220和/或上电极224时,包含在吸附抑制剂AI中的一些元素的原子可以保留在下电极220和/或上电极224中。这些元素可以是15族元素和/或16族元素,诸如氮(N)、氧(O)、磷(P)或硫(S)。
在一些实施例中,包含在下电极220和/或上电极224中的氮(N)、氧(O)、磷(P)或硫(S)的含量可以为大约0.01原子百分数(at%)至大约10at%。在一些实施例中,包含在下电极220和/或上电极224中的氮(N)、氧(O)、磷(P)或硫(S)的含量可以为大约0.05at%至大约9.5at%、大约0.1at%至大约9at%、大约0.2at%至大约8.5at%、大约0.3at%至大约8at%、大约0.5at%至大约7.5at%、大约0.7at%至大约7at%、大约1.0at%至大约6.8at%、大约1.2at%至大约6.6at%、大约1.5at%至大约6.3at%、或者大约2at%至大约6at%,或者可以在这些数值之间的某一范围内。
在一些实施例中,15族元素或16族元素的浓度可以随着距半导体衬底210的距离增大而增大。在一些实施例中,氮(N)、氧(O)、磷(P)或硫(S)的浓度可以随着距半导体衬底210的距离增大而增大。
在一些实施例中,下电极220的最上端2202中的氮(N)、氧(O)、磷(P)或硫(S)的浓度可以为下电极220的最下端2201中的氮(N)、氧(O)、磷(P)或硫(S)的浓度的大约101%至大约140%。
在一些实施例中,上电极224的与下电极220的最上端2202位于同一水平的部分2242中的15族元素或16族元素的浓度可以为上电极224的最下端2241中的15族元素或16族元素的浓度的大约101%至大约140%。在一些实施例中,在与下电极220的最上端2202相同的水平,上电极224的部分2242中的氮(N)、氧(O)、磷(P)或硫(S)的浓度可以为上电极224的最下端2241中的氮(N)、氧(O)、磷(P)或硫(S)的浓度的大约101%至大约140%。
特性比(CR)可以通过使用下电极220的每个维度定义为以下等式1。
等式1
CR=c/min(a,b)
其中,a是下电极的水平宽度,b是下电极之间的最短分隔距离,c是下电极的外表面的竖直高度,并且min(a,b)是a与b中相对更小的数。
在一些实施例中,下电极220的CR可以为30或更大、或者45或更大。例如,CR可以为大约30至大约200、大约40至大约150、大约45至大约120、大约50至大约100、或者大约55至大约80。
图9A至图9C是示出根据本发明构思的一些实施例的制造半导体装置400的方法的图,图9A是要制造的半导体装置400的平面图,图9B是图9A的半导体装置400的透视图,图9C示出了沿图9A的线X-X'和线Y-Y'截取的剖视图。
参照图9A至图9C,半导体装置400包括从衬底402突出的鳍型有源区域FA。
衬底402的细节与参照图7A对衬底210描述的细节基本相同。
衬底402可以由III-V族材料或IV族材料形成,从而用作用于低功率和高速晶体管的沟道材料。当在衬底402中形成NMOS晶体管时,衬底402可以由III-V族材料中的任意一种形成。例如,衬底402可以由GaAs形成。当在衬底402中形成PMOS晶体管时,衬底402可以由比Si衬底具有更高的空穴迁移率的例如Ge的半导体材料形成。
鳍型有源区域FA可以在一个方向(图9A和图9B中的Y方向)上延伸。覆盖鳍型有源区域FA的下侧壁的元件隔离层410可以形成在衬底402上。鳍型有源区域FA按照鳍型在元件隔离层410上方突出。在一些实施例中,元件隔离层410可以配置有氧化硅层、氮化硅层、氮氧化硅层或者它们的组合,但不限于此。
栅极结构420可以在衬底402上方在鳍型有源区域FA上在与鳍型有源区域FA的延伸方向交叉的方向(X方向)上延伸。一对源极/漏极区域430可以形成在鳍型有源区域FA中的栅极结构420的两侧上。
该对源极/漏极区域430可以包括从鳍型有源区域FA外延生长的半导体层。该对源极/漏极区域430中的每一个可以配置有嵌入式SiGe结构,其包括多个外延生长SiGe层、外延生长Si层或者外延生长SiC层。尽管图9B示出了该对源极/漏极区域430具有特定形状的情况,但是根据本发明构思,该对源极/漏极区域430的剖面形状不限于图9B中所示的剖面形状,并且可以包括各种形状。例如,该对源极/漏极区域430可以具有诸如圆形、椭圆形和多边形的各种剖面形状。
MOS晶体管TR可以形成在鳍型有源区域FA与栅极结构420相交的部分。MOS晶体管TR包括具有三维结构的MOS晶体管,其包括形成在鳍型有源区域FA的上表面和两侧上的沟道。MOS晶体管TR可以是NMOS晶体管或PMOS晶体管。
如图9C中所示,栅极结构420可以包括从鳍型有源区域FA的表面顺序形成的界面层412、高k层414、第一含金属层426A、第二含金属层426B和间隙填充金属层428。栅极结构420的第一含金属层426A、第二含金属层426B和间隙填充金属层428可以构成栅电极420G。
绝缘间隔件442可以形成在栅极结构420的两侧上。覆盖绝缘间隔件442的层间绝缘层444可以形成在栅极结构420的关于绝缘间隔件442的相对侧上。
界面层412可以形成在鳍型有源区域FA的表面上。界面层412可以由绝缘材料(例如,氧化物层、氮化物层和/或氮氧化物层)形成。界面层412可以与高k层414一起构成栅极绝缘层。
高k层414可以由比氧化硅层的介电常数具有更高介电常数的材料形成。在一些实施例中,高k层414可以包括选自由硅(Si)、锶(Sr)、钡(Ba)、钛(Ti)、铅(Pb)、锆(Zr)和铪(Hf)组成的组中的两种或更多种。例如,高k层414可以具有大约4至100的介电常数。高k层414可以由选自氧化锆、氧化锆硅、氧化铪、氧氮化铪、氧化铪硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、锌铌酸铅和它们的组合物的材料形成,但是形成高k层414的材料不限于上述材料。
可以通过原子层沉积(ALD)工艺来形成高k层414。为了形成高k层414,可以使用参照图1至图3C描述的根据本发明构思的氮化物层形成方法。
在一些实施例中,第一含金属层426A可以包括Ti的氮化物、Ta的氮化物、Ti的氮氧化物或者Ta的氮氧化物。例如,第一含金属层426A可以由TiN、TaN、TiAlN、TaAlN、TiSiN或者它们的组合形成。可以通过各种沉积方法(诸如ALD、化学气相沉积(CVD)或物理气相沉积(PVD))来形成第一含金属层426A。在一些实施例中,可以通过使用参照图1至图3C描述的根据本发明构思的氮化物层形成方法来形成第一含金属层426A。
在一些实施例中,第二含金属层426B可以由NMOS晶体管所需要的并且包括含Ti或Ta的Al化合物的N型含金属层形成。例如,第二含金属层426B可以由TiAlC、TiAlN、TiAlCN、TiAl、TaAlC、TaAlN、TaAlCN、TaAl或者它们的组合形成。
在其它一些实施例中,第二含金属层426B可以由PMOS晶体管所需要的P型含金属层形成。例如,第二含金属层426B可以包括Mo、Pd、Ru、Pt、TiN、WN、TaN、Ir、TaC、RuN和MoN中的至少一种。
第二含金属层426B可以形成为单个层或多个层。
在一些实施例中,当第二含金属层426B为金属氮化物时,可以使用参照图1至图3C描述的根据本发明构思的氮化物层形成方法。
第二含金属层426B可以与第一含金属层426A一起用于调整栅极结构420的功函数。可以通过调整第一含金属层426A和第二含金属层426B的功函数来调整栅极结构420的阈值电压。在一些实施例中,可以省略第一含金属层426A和第二含金属层426B之一。
当通过替代金属栅极(RMG)工艺来形成栅极结构420时,间隙填充金属层428可以被形成为填充第二含金属层426B上的剩余栅极空间。当在形成第二含金属层426B之后在第二含金属层426B上没有剩余栅极空间时,还可以省略间隙填充金属层428而不将其形成在第二含金属层426B上。
间隙填充金属层428可以包括选自由W、TiN、TaN、Al、金属碳化物、金属硅化物、金属碳化铝、金属氮化铝、金属氮化硅等组成的组的材料。
参照图9A至图9C描述的根据本发明构思的实施例的制造半导体装置400的方法可以在根据本发明构思的氮化物层形成方法中使用形成材料层的工艺,以形成高k层414、第一含金属层426A和第二含金属层426B。
尽管参照图9A至图9C描述了制造包括具有三维结构的沟道的鳍形场效应晶体管(FET)的半导体装置的方法,但是本发明构思不限于此。本领域技术人员将显而易见,例如,可以通过上述本发明构思的范围内的本发明构思的各种修改和改变来提供形成包括具有根据本发明构思的特性的平面金属氧化物半导体(MOS)FET的半导体装置的方法。
在下文中,将参照特定实施例和比较示例来更加详细地描述本发明构思的配置和效果,并且这些实施例仅用于更加清楚地理解本发明构思,并且不旨在限制本发明构思的范围。
实验1
在硅衬底上在SiO2绝缘层中形成开口,并且TiN层在550℃下形成为开口中的下电极。当不使用吸附抑制剂时,台阶覆盖率测量为90%,当使用吸附抑制剂并且其它沉积条件彼此相同时,台阶覆盖率测量为94%。因此,发现当使用吸附抑制剂时,台阶覆盖率提高了大约四个百分点。
另外,此时还测量了平均晶粒尺寸,并且在以下的表1中示出了结果。
<表1>
Figure BDA0003140417700000321
如表1中所示,发现当使用吸附抑制剂时,晶粒尺寸和结晶度增大。
实验2
去除SiO2绝缘层,HfO2层形成为下电极的整个表面上的介电层,并且在430℃下在其上形成TiN层作为上电极。当不使用吸附抑制剂时,台阶覆盖率测量为74%,并且当使用吸附抑制剂并且其它沉积条件彼此相同时,台阶覆盖率测量为82%。因此,发现当使用吸附抑制剂时,台阶覆盖率提高了大约8个百分点。
分别测量所形成的下电极和上电极的特定电阻,并且结果总结在表2中。
<表2>
Figure BDA0003140417700000331
(μΩ·cm)
如表2中所示,发现与当不使用吸附抑制剂时相比,当使用吸附抑制剂时,特定电阻进一步减小。
尽管已经参照本发明构思的实施例具体示出和描述了本发明构思,但是将理解,在不脱离所附权利要求的精神和范围的情况下,可以在本文中做出形式和细节上的各种改变。

Claims (20)

1.一种形成材料层的方法,所述方法包括:
沉积循环,其包括:
在衬底上提供吸附抑制剂;
吹扫过量的所述吸附抑制剂;
在所述衬底上提供金属前体;
吹扫过量的所述金属前体;以及
供应反应剂以在所述衬底上形成所述材料层,
其中,所述吸附抑制剂包括15族元素或16族元素。
2.根据权利要求1所述的方法,其中,所述吸附抑制剂具有R-An-R'的结构,其中,A为氧、硫、硒、碲或钋,n为1、2或3的整数,R和R'各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基或具有3个至12个碳的环烯基,R和R'中的至少一个不是氢,并且R和R'彼此不连接或彼此连接以形成环。
3.根据权利要求2所述的方法,其中,所述吸附抑制剂是选自由CH3OH、C2H5OH、C3H7OH、C4H9OH、CH3-O-CH3、C2H5-O-CH3、C3H7-O-CH3、C4H9-O-CH3、C2H5-O-C2H5、C3H7-O-C2H5、C4H9-O-C2H5、C3H7-O-C3H7、C4H9-O-C3H7和C4H9-O-C4H9组成的基团中的至少一种。
4.根据权利要求1所述的方法,其中,所述吸附抑制剂具有Dm(RR'R")的结构,其中,D为氮、磷、砷、锑或铋,m为1或2,R、R'和R"各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、或者具有3个至12个碳的环烯基,R、R'和R"中的至少一个不是氢,并且R、R'和R"中的两个或更多个彼此不连接或彼此连接以形成环。
5.根据权利要求1所述的方法,其中,所述吸附抑制剂具有X1-QO-X2、X1-QO2-X2或(QO)X1X2X3的结构,其中,Q为氧、硫、硒、碲、钋、氮、磷、砷、锑或铋,X1、X2和X3各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、具有3个至12个碳的环烯基、-OR1、-NR1R1'或-SR1,X1、X2和X3中的至少一个不是氢,并且X1、X2和X3中的至少两个彼此不连接或彼此连接以形成环,并且
R1和R1'各自独立地为氢、具有1个至5个碳的烷基、具有2个至5个碳的烯基、具有2个至5个碳的炔基、具有6个至10个碳的芳基、具有3个至7个碳的环烷基、或者具有3个至7个碳的环烯基。
6.根据权利要求1所述的方法,其中,所述吸附抑制剂具有R-CN的结构,其中,R为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、或者具有3个至12个碳的环烯基。
7.根据权利要求1所述的方法,其中,所述吸附抑制剂包括杂芳环,其包括选自由氮、氧和硫组成的组中的至少一种作为异质元素。
8.根据权利要求7所述的方法,其中,所述吸附抑制剂是选自由吡啶、嘧啶、吡嗪、吡咯、咪唑、噁唑、噻吩和它们的衍生物组成的组中的至少一种。
9.根据权利要求1所述的方法,其中,所述吸附抑制剂具有X1-C(=O)-X2或X1-C(=S)-X2的结构,其中,X1和X2各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、具有3个至12个碳的环烯基、-OR1、-NR1R1'或-SR1,X1和X2中的至少一个不是氢,并且X1和X2彼此不连接或彼此连接以形成环,并且
R1和R1'各自独立地为氢、具有1个至5个碳的烷基、具有2个至5个碳的烯基、具有2个至5个碳的炔基、具有6个至10个碳的芳基、具有3个至7个碳的环烷基、或者具有3个至7个碳的环烯基。
10.根据权利要求1所述的方法,其中,重复所述沉积循环,并且
当重复所述沉积循环时,在提供所述吸附抑制剂之后并且在供应所述反应剂之前执行所述金属前体的提供。
11.根据权利要求10所述的方法,其中,在供应所述反应剂之后立即执行所述吸附抑制剂的提供。
12.根据权利要求1所述的方法,其中,所述吸附抑制剂的提供与所述反应剂的供应至少部分地重叠。
13.根据权利要求1所述的方法,其中,重复所述沉积循环,并且
当重复所述沉积循环时,在供应所述反应剂之后并且在提供所述吸附抑制剂之前执行所述金属前体的提供。
14.一种制造半导体装置的方法,包括:
形成电连接到半导体衬底的有源区域的下电极;
在所述下电极的表面上形成介电层;以及
在所述介电层上形成上电极,
其中,形成所述下电极和形成所述上电极中的至少一个包括重复执行沉积循环,所述沉积循环包括:
在所述半导体衬底上提供包括15族元素或16族元素的吸附抑制剂;
吹扫过量的所述吸附抑制剂;
在所述半导体衬底上提供金属前体;
吹扫过量的所述金属前体;以及
供应反应剂以在所述半导体衬底上形成材料层。
15.根据权利要求14所述的方法,其中,所述吸附抑制剂具有R-An-R'的结构,其中,A为氧、硫、硒、碲或钋,n是1、2或3的整数,R和R'各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、或者具有3个至12个碳的环烯基,R和R'中的至少一个不是氢,并且R和R'彼此不连接或彼此连接以形成环。
16.根据权利要求14所述的方法,其中,所述吸附抑制剂具有Dm(RR'R")的结构,其中,D为氮、磷、砷、锑或铋,m为1或2,R、R'和R"各自独立地为氢、具有1个至10个碳的烷基、具有2个至10个碳的烯基、具有2个至10个碳的炔基、具有6个至15个碳的芳基、具有3个至12个碳的环烷基、或者具有3个至12个碳的环烯基,R、R'和R"中的至少一个不是氢,并且R、R'和R"中的两个或更多个彼此不连接或彼此连接以形成环。
17.根据权利要求14所述的方法,其中,当重复所述沉积循环时,在提供所述吸附抑制剂之后并且在供应所述反应剂之前执行所述金属前体的提供。
18.根据权利要求14所述的方法,其中,当重复所述沉积循环时,在供应所述反应剂之后并且在提供所述吸附抑制剂之前执行所述金属前体的提供。
19.根据权利要求14所述的方法,其中,所述反应剂包括选自由氮、氨、肼、二亚胺、N2O、NO、NO2、N2等离子体、NH3等离子体、H2等离子体和NF3组成的组中的一种或多种。
20.一种形成材料层的方法,所述方法包括沉积循环,所述沉积循环包括:
在反应腔室中的衬底上提供吸附抑制剂;
从所述反应腔室吹扫过量的所述吸附抑制剂;
在所述衬底上提供金属前体;
从所述反应腔室吹扫过量的所述金属前体;以及
供应反应剂以在所述衬底上形成所述材料层,
其中,所述吸附抑制剂包括氮、氧、磷或硫,并且
通过汽化器将所述吸附抑制剂和所述金属前体供应到所述反应腔室中。
CN202110737506.0A 2020-06-30 2021-06-30 形成材料层的方法、半导体装置和制造半导体装置的方法 Pending CN113862635A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2020-0080504 2020-06-30
KR20200080504 2020-06-30
KR1020210074189A KR20220002096A (ko) 2020-06-30 2021-06-08 물질막의 형성 방법, 반도체 소자의 제조 방법 및 반도체 소자
KR10-2021-0074189 2021-06-08

Publications (1)

Publication Number Publication Date
CN113862635A true CN113862635A (zh) 2021-12-31

Family

ID=78990039

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110737506.0A Pending CN113862635A (zh) 2020-06-30 2021-06-30 形成材料层的方法、半导体装置和制造半导体装置的方法

Country Status (2)

Country Link
US (1) US11967502B2 (zh)
CN (1) CN113862635A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114888282A (zh) * 2022-04-11 2022-08-12 华南理工大学 一种a2b7型储氢合金的氟化改性方法及其得到的氟化改性储氢合金和应用

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112956012B (zh) * 2021-01-27 2024-02-23 长江存储科技有限责任公司 用于在半导体结构中形成阻挡层的方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US7105065B2 (en) * 2002-04-25 2006-09-12 Micron Technology, Inc. Metal layer forming methods and capacitor electrode forming methods
KR101069630B1 (ko) 2008-04-28 2011-10-05 성균관대학교산학협력단 흡착억제제를 이용한 반도체 소자의 금속배선 형성방법
US8952188B2 (en) 2009-10-23 2015-02-10 Air Products And Chemicals, Inc. Group 4 metal precursors for metal-containing films
JP5587716B2 (ja) 2010-09-27 2014-09-10 マイクロンメモリジャパン株式会社 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
WO2017070190A1 (en) * 2015-10-23 2017-04-27 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
KR102375981B1 (ko) 2016-07-04 2022-03-18 삼성전자주식회사 반도체 장치 제조 방법 및 반도체 장치 제조 설비
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11515151B2 (en) * 2017-10-06 2022-11-29 Applied Materials, Inc. Methods and precursors for selective deposition of metal films
US11584986B1 (en) * 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114888282A (zh) * 2022-04-11 2022-08-12 华南理工大学 一种a2b7型储氢合金的氟化改性方法及其得到的氟化改性储氢合金和应用

Also Published As

Publication number Publication date
US11967502B2 (en) 2024-04-23
US20210407795A1 (en) 2021-12-30

Similar Documents

Publication Publication Date Title
KR102636173B1 (ko) 원자층 증착에 의해 기판 상에 전이 금속 니오븀 질화물막을 형성하기 위한 방법 및 관련 반도체 소자 구조물
US10468256B2 (en) Methods of forming material layer
US7279432B2 (en) System and method for forming an integrated barrier layer
US10651031B2 (en) Tantalum compound
US6203613B1 (en) Atomic layer deposition with nitrate containing precursors
US10752645B2 (en) Method of forming a thin film
US20030123216A1 (en) Deposition of tungsten for the formation of conformal tungsten silicide
JP7140476B2 (ja) アルミニウム化合物を利用した薄膜形成方法、並びに集積回路素子の製造方法
KR20020068670A (ko) 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US11728160B2 (en) Method of forming oxide film including two non-oxygen elements, method of manufacturing semiconductor device, method of forming dielectric film, and semiconductor device
US11967502B2 (en) Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
US20170350012A1 (en) Semiconductor manufacturing apparatus
US20060240679A1 (en) Method of manufacturing semiconductor device having reaction barrier layer
CN114080681A (zh) 用于v-nand字线堆叠的衬里
US20210140048A1 (en) Semiconductor manufacturing apparatus
KR20220002096A (ko) 물질막의 형성 방법, 반도체 소자의 제조 방법 및 반도체 소자
TW202402771A (zh) 鈮、釩、鉭成膜組成物及利用其沉積含第v(五)族之膜
CN112341489A (zh) 铌化合物和形成薄膜的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination