CN112341489A - 铌化合物和形成薄膜的方法 - Google Patents

铌化合物和形成薄膜的方法 Download PDF

Info

Publication number
CN112341489A
CN112341489A CN202010585301.0A CN202010585301A CN112341489A CN 112341489 A CN112341489 A CN 112341489A CN 202010585301 A CN202010585301 A CN 202010585301A CN 112341489 A CN112341489 A CN 112341489A
Authority
CN
China
Prior art keywords
niobium
compound
straight
branched
independently
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010585301.0A
Other languages
English (en)
Other versions
CN112341489B (zh
Inventor
李沼姈
柳承旻
朴圭熙
林载顺
曺仑廷
斋藤昭夫
布施若菜
青木雄太郎
小出幸宜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeka Corp
Samsung Electronics Co Ltd
Original Assignee
Adeka Corp
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Adeka Corp, Samsung Electronics Co Ltd filed Critical Adeka Corp
Publication of CN112341489A publication Critical patent/CN112341489A/zh
Application granted granted Critical
Publication of CN112341489B publication Critical patent/CN112341489B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/0615Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with transition metals other than titanium, zirconium or hafnium
    • C01B21/0617Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with transition metals other than titanium, zirconium or hafnium with vanadium, niobium or tantalum
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/40Electric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

铌化合物和使用所述铌化合物形成薄膜的方法,所述化合物由以下通式I表示:[通式I]
Figure 1
其中,在通式I中,R1、R4、R5、R6、R7和R8各自独立地是氢原子、C1‑C6直链烷基或支链烷基、或者C3‑C6环烃基,R4、R5、R6、R7和R8中的至少一个是C1‑C6直链烷基或支链烷基,并且R2和R3各自独立地是氢原子、卤素原子、C1‑C6直链烷基或支链烷基、或者C3‑C6环烃基。

Description

铌化合物和形成薄膜的方法
相关申请的交叉引用
于2019年8月6日在韩国知识产权局提交的并且标题为“Niobium Compound andMethod of Forming Thin Film”(铌化合物和形成薄膜的方法)的韩国专利申请No.10-2019-0095746通过引用整体并入本文。
技术领域
实施例涉及铌化合物和使用铌化合物形成薄膜的方法。
背景技术
随着电子技术的发展,半导体器件的规模迅速缩小,构成电子器件的图案也微型化。
发明内容
实施例可以通过提供由以下通式I表示的铌化合物来实现:
[通式I]
Figure BDA0002553731430000011
其中,在通式I中,R1、R4、R5、R6、R7和R8各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基,R4、R5、R6、R7和R8中的至少一个是C1-C6直链烷基或支链烷基,并且R2和R3各自独立地是氢原子、卤素原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。
实施例可以通过提供由以上通式I表示的铌化合物来实现,其中,在通式I中,R1是C1-C6直链烷基或支链烷基、或者C3-C6环烃基,R4、R5、R6、R7和R8各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基,R2和R3各自独立地是氢原子、卤素原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基,并且当R4、R5、R6、R7和R8全部是甲基时,R2和R3各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。
实施例可以通过提供由以下通式II表示的铌化合物来实现:
[通式II]
Figure BDA0002553731430000021
其中,在通式II中,R1和R4各自独立地是C1-C6直链烷基或支链烷基,并且R2和R3各自独立地是卤素原子、或者C1-C6直链烷基或支链烷基。
实施例可以通过提供形成薄膜的方法来实现,所述方法包括通过将铌化合物提供到衬底上在所述衬底上形成含铌膜,其中,所述铌化合物由以上通式I表示,其中,在通式I中,R1、R4、R5、R6、R7和R8各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基,R4、R5、R6、R7和R8中的至少一个是C1-C6直链烷基或支链烷基,并且R2和R3各自独立地是氢原子、卤素原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。
附图说明
通过参照附图详细描述示例性实施例,特征对于本领域技术人员将是显而易见的,在附图中:
图1示出了根据实施例的形成薄膜的方法的流程图;
图2A至图2D示出了可以在根据示例实施例的形成薄膜的方法中使用的沉积系统的构造的图;
图3示出了根据实施例的形成薄膜的方法的流程图;
图4示出了根据实施例的形成薄膜的方法中的形成含铌膜的工艺的流程图;
图5A至图5J示出了根据实施例的制造集成电路(IC)器件的方法中的各阶段的截面图;
图6A至图6C示出了根据实施例的制造IC器件的方法中的各阶段,其中,图6A是要形成的IC器件的俯视图,图6B是图6A的IC器件的透视图,图6C是沿图6A的线X-X'和线Y-Y'截取的截面构造的截面图;
图7A至图7F示出了根据实施例的制造图6A至图6C所示的IC器件的方法中的各阶段的截面图。
具体实施例
当在本文中使用表述“衬底的表面”时,应当理解为衬底本身的暴露表面或者在衬底上形成的预定层或预定膜的外表面。如本文所使用的,缩写“Me”是指甲基,缩写“Et”是指乙基,缩写“Pr”是指丙基,缩写“nPr”是指正丙基或直链丙基,缩写“iPr”是指异丙基,缩写“Bu”是指丁基,缩写“nBu”是指正丁基或直链丁基,缩写“tBu”是指叔丁基(或1,1-二甲基乙基),缩写“sBu”是指仲丁基(或1-甲基丙基),缩写“iBu”是指异丁基(或2-甲基丙基),“戊基(amyl)”是指戊烷基(pentyl group),“叔戊基”是指叔戊烷基(或1,1-二甲基丙基)。如本文所使用的,术语“室温”或“环境温度”是指范围从约20℃至约28℃的温度。
根据实施例的铌化合物可以由以下通式I表示:
[通式I]
Figure BDA0002553731430000031
在通式I中,R1、R4、R5、R6、R7和R8可以独立地是例如氢原子、C1-C6直链烷基或支链烷基(例如,C1-C6直链烷基或C3-C6支链烷基)或者C3-C6环烃基。R2和R3可以独立地是例如氢原子、卤素原子或元素、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。在一种实施方式中,R4、R5、R6、R7和R8中的至少一个可以是例如C1-C6直链烷基或支链烷基。如本文所使用的,术语“或”不是排他性的术语,例如,“A或B”将包括A、B或者A和B。在通式I中,所示的环为环戊二烯基环。
卤素原子可以是例如氟(F)、氯(Cl)、溴(Br)或碘(I)。
在一种实施方式中,R4、R5、R6、R7和R8中的一个可以是例如C1-C3直链烷基或支链烷基,而R4、R5、R6、R7和R8中的剩余那些(例如其他四个)可以是氢原子。
在一种实施方式中,R4、R5、R6、R7和R8中的至少一个可以是例如氢原子。在一种实施方式中,当R4、R5、R6、R7和R8均是甲基时,R2和R3可以各自独立地是例如氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。在一种实施方式中,R1可以是例如C1-C5直链烷基或支链烷基。在一种实施方式中,R1可以是例如甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、异戊基、新戊基或叔戊基。在一种实施方式中,R2和R3中的至少一个可以是例如卤素原子。在一种实施方式中,R2和R3中的至少一个可以是例如C1-C3直链烷基或者C3支链烷基。在一种实施方式中,根据实施例的铌化合物可以在例如可以根据季节而变化的室温或环境温度下为液体。例如,铌化合物在约20℃至约28℃的温度范围内可以是液体。
在一种实施方式中,铌化合物可以由以下通式II表示。
[通式II]
Figure BDA0002553731430000041
在通式II中,R1和R4可以各自独立地是例如C1-C6直链烷基或支链烷基,并且R2和R3可以各自独立地是例如卤素原子或者C1-C6直链烷基或支链烷基。
在一种实施方式中,在通式II中,R1可以是例如C3-C5支链烷基。在一种实施方式中,在通式II中,R2和R3可以各自独立地是卤素原子。在一些其他实施例中,在通式II中,R2和R3可以各自独立地是C1-C3直链烷基或C3支链烷基。在一种实施方式中,在通式II中,R4可以是例如C1-C3直链烷基或C3支链烷基。在一种实施方式中,在通式II中,R1、R2、R3和R4可以各自独立地是例如甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、异戊基、新戊基或叔戊基。在一种实施方式中,在通式II中,R1可以是例如支链戊基,R2和R3可以各自独立地是例如氯原子、C1-C3直链烷基或C3支链烷基,并且R4可以是例如C1-C3直链烷基或C3支链烷基。
在通式II的铌化合物中,R1、R2、R3和R4均可以有助于在包括使通式II的铌化合物蒸发的工艺的薄膜制造工艺中升高铌化合物的蒸气压、降低铌化合物的熔点以及改善铌化合物的稳定性。
在一种实施方式中,在通式II的铌化合物中,当R1是C3-C5烷基时,可以降低通式II的铌化合物的熔点。例如,当R1是叔烷基时,可以进一步降低通式II的铌化合物的熔点。例如,当R1是戊基时,可以大大降低通式II的铌化合物的熔点。
在一种实施方式中,在通式II的铌化合物中,当R2和R3均是氯原子或C1-C3烷基时,可以升高通式II的铌化合物的蒸气压。在一种实施方式中,当R2和R3是氯原子时,由于铌原子和氯原子之间的键合能相对高,所以可以升高通式II的铌化合物的蒸气压。当铌化合物的蒸气压升高时,可以在传送沉积工艺中将使用的铌化合物期间提高铌化合物的供应稳定性。
在一种实施方式中,在通式II的铌化合物中,与R4是氢原子的情况不同,当R4是C1-C3烷基时,可以增加环戊二烯基的空间性(stericity)。例如,分子间引力可以变得相对弱,并且铌化合物可以易于液化。因此,可以降低通式II的铌化合物的熔点。在一种实施方式中,当R4是甲基或乙基时,可以进一步降低通式II的铌化合物的熔点。
当使用通式II的铌化合物通过不涉及蒸发工艺的金属有机沉积(MOD)工艺形成薄膜时,R1、R2、R3和R4均可以基于在所使用的溶剂中的溶解度和薄膜形成反应进行选择。
在一种实施方式中,铌化合物可以是例如式1至式36中的一个式的化合物。
Figure BDA0002553731430000051
Figure BDA0002553731430000061
Figure BDA0002553731430000071
Figure BDA0002553731430000081
Figure BDA0002553731430000091
在一种实施方式中,根据实施例的铌化合物在环境温度下可以是液体。例如,在式1至式36的化合物中,至少式3的化合物和式6的化合物在环境温度下可以是液体。
根据实施例,制备铌化合物的方法可以包括适宜的反应。例如,根据实施例的铌化合物的制备可以包括:使三甲基氯硅烷与氢化钠和烷基环戊二烯反应,使获得的所得产物与氯化铌反应,引起具有与将形成的化合物结构相对应的结构的烷基胺反应,以及蒸馏和纯化获得的反应产物。
根据实施例的铌化合物可以具有相对低的熔点,并且能够以液相进行传送。另外,根据实施例的铌化合物可以具有相对高的蒸气压,因此铌化合物可以容易地被蒸发和传送。例如,根据实施例的铌化合物可以适当地用作在沉积工艺(例如,化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺)期间形成含铌膜的源化合物,在该沉积工艺中,在蒸发状态下提供形成薄膜所需的源化合物。根据实施例的铌化合物由于其相对高的蒸气压,可以容易地被传送到具有相对高的纵横比的结构。例如,具有良好的阶梯覆盖特性和良好的间隙填充特性的含铌膜可以形成在具有相对高的纵横比的结构上。
根据实施例的铌化合物可以在相对低的温度下与反应气体反应。例如,在使用根据实施例的铌化合物形成含铌膜的工艺中,可以不需要加热铌化合物以使铌化合物与反应气体反应,从而提高了薄膜形成工艺的生产率。
在下文中,将详细描述根据实施例的形成薄膜的方法。
图1示出了根据实施例的形成薄膜的方法的流程图。参照图1,可以在工艺P20中提供衬底。
在一种实施方式中,衬底可以包括例如诸如硅(Si)或锗(Ge)的半导体元素,或者诸如碳化硅(SiC)、砷化镓(GaAs)、砷化铟(InAs)或磷化铟(InP)的化合物半导体。在一种实施方式中,衬底可以包括半导体衬底、在半导体衬底上形成的至少一个绝缘膜或者包括至少一个导电区的结构。导电区可以包括例如掺杂阱或者掺杂结构。在一种实施方式中,衬底可以具有各种器件隔离结构,例如,浅沟槽隔离(STI)结构。
在图1的工艺P30中,可以使用通式I的铌化合物在衬底上形成含铌膜。
为了形成含铌膜,可以在衬底上使包含蒸发的通式I的铌化合物的蒸气分解、沉积或者进行化学反应。
在一种实施方式中,为了形成含铌膜,可以在衬底上仅仅提供(例如,单独提供)蒸发的通式I的铌化合物。在一种实施方式中,为了形成含铌膜,可以在衬底上同时或依次地提供蒸发的通式I的铌化合物以及例如另一种前体、反应气体、载气或吹扫气体。下面将描述其他前体、反应气体、载气和吹扫气体的详细配置。在一种实施方式中,含铌膜可以包括例如铌膜、氧化铌膜、氮化铌膜、硅化铌膜或它们的组合。在一种实施方式中,氧化铌膜可以包括例如NbO、NbO2或Nb2O5。在一种实施方式中,氮化铌膜可以包括例如氮化铌(NbN)。在一种实施方式中,根据实施例,可以通过使用形成薄膜的方法来形成任何合适种类的含铌膜。
图2A至图2D示出了可以在根据示例实施例的形成薄膜的方法中使用的沉积系统200A、200B、200C和200D。
图2A至图2D中所示的沉积系统200A、200B、200C和200D均可以包括:流体传送单元210;薄膜形成单元250,被构造为通过使用从流体传送单元210中包括的源容器212提供的工艺气体在衬底W上执行形成薄膜的沉积工艺;以及排放系统270,被构造为排放在薄膜形成单元250中发生反应之后可能残留的气体或副产物。
薄膜形成单元250可以包括反应室254,反应室254包括被构造为支撑衬底W的基座252。喷头256可以安装在反应室254内部的顶端单元处。喷头256可以被构造为将从流体传送单元210提供的气体提供到衬底W上。
流体传送单元210可以包括被构造为将来自外部的载气提供给源容器212的进口管路222和被构造为将源容器212中包含的源化合物提供给薄膜形成单元250的出口管路224。阀V1和质量流量控制器(MFC)M1可以安装在进口管路222处,并且阀V2和MFC M2可以安装在出口管路224处。进口管路222和出口管路224可以通过旁通管路226彼此连接。阀V3可以被安装在旁通管路226处。阀V3可以通过使用电动马达或另一个遥控单元由于气压而操作。
从源容器212提供的源化合物可以通过薄膜形成单元250的进口管路266被提供到反应室254中,进口管路266连接到流体传送单元210的出口管路224。必要时,从源容器212提供的源化合物可以与通过进口管路268提供的载气一起被提供到反应室254中。阀V4和MFC M3可以安装在载气被提供到其中的进口管路268处。
薄膜形成单元250可以包括被构造为将吹扫气体提供到反应室254中的进口管路262和被构造为提供反应气体的进口管路264。阀V5和MFC M4可以安装在进口管路262处,并且阀V6和MFC M5可以安装在进口管路264处。
反应室254中使用的工艺气体和要丢弃的反应副产物可以通过排放系统270排到外部。排放系统270可以包括连接到反应室254的排放管路272和安装在排放管路272处的真空泵274。真空泵274可以排除从反应室254排放的工艺气体和反应副产物。
捕集器276可以安装在真空泵274的上游侧的排放管路272中。捕集器276可以捕集例如由反应室254中的未反应的工艺气体产生的反应副产物,并且防止反应副产物流入到下游侧的真空泵274中。
在根据实施例的形成薄膜的方法中,通式I的铌化合物可以用作源化合物。例如,根据实施例的铌化合物可以在环境温度下为液相,并且与其他工艺气体(例如,反应气体(例如,氧化性气体或还原性气体))高度反应。例如,安装在排放管路272处的捕集器276可以捕集由于工艺气体之间的反应而可能产生的附属物(例如,反应副产物),并且可以有助于减小附属物流到捕集器276的下游侧的可能性或者防止附属物流到捕集器276的下游侧。捕集器276可以被构造为由冷却器(例如,水冷却装置)冷却。
另外,旁通管路278和自动压力控制器(APC)280可以安装在捕集器276的上游侧的排放管路272中。阀V7可以安装在旁通管路278处,并且阀V8可以安装在排放管路272的可以平行于旁通管路278延伸的部分处。
如在图2A和图2C中所示的沉积系统200A和200C中,加热器214可以安装在源容器212中。源容器212中容纳的源化合物可以通过加热器214保持在相对高的温度下。
如在图2B和图2D中所示的沉积系统200B和200D中,蒸发器258可以安装在薄膜形成单元250的进口管路266处。蒸发器258可以使来自流体传送单元210的以液相提供的流体蒸发,并且将蒸发的源化合物提供到反应室254中。被蒸发器258蒸发的源化合物可以与通过进口管路268提供的载气一起被提供到反应室254中。源化合物通过蒸发器258进入反应室254的供应可以由阀V9控制。
如在图2C和图2D中所示的沉积系统200C和200D中,为了在反应室254中产生等离子体,薄膜形成单元250可以包括射频(RF)电源292和RF匹配系统294,射频(RF)电源292和RF匹配系统294连接到反应室254。
在一种实施方式中,如图2A至图2D中所示,一个源容器212可以连接到反应室254。在一种实施方式中,多个源容器212可以设置在流体传送单元210中,并且多个源容器212均可以连接到反应室254。在一种实施方式中,合适数量的源容器212可以连接到反应室254。在一种实施方式中,包含通式I的铌化合物的源化合物可以通过使用图2B和图2D中所示的沉积系统200B和200D中的任何一个沉积系统的蒸发器258来蒸发。在一种实施方式中,在根据实施例的形成薄膜的方法中,图2A至图2D中所示的沉积系统200A、200B、200C和200D中的任何一个沉积系统可以用于在衬底W上形成含铌膜。
为了根据图1的工艺P30在衬底W上形成含铌膜,包含通式I的铌化合物的用于形成薄膜的源化合物可以通过使用各种方法输送,并且被提供到薄膜形成系统的反应室中,例如图2A至图2D中所示的沉积系统200A、200B、200C和200D中的每个沉积系统的反应室254中。
在根据实施例的形成薄膜的方法中使用的用于形成薄膜的源材料可以包括通式I的铌化合物。例如,在根据实施例的形成薄膜的方法中,根据实施例的铌化合物可以用作前体。例如,当要形成仅包含铌作为金属的薄膜时,在根据实施例的形成薄膜的方法中使用的薄膜形成源可以不包含除了通式1的铌化合物以外的金属化合物和半金属化合物。在一种实施方式中,当要制造包含至少两种金属和/或半金属的含铌膜时,在根据实施例的形成薄膜的方法中使用的薄膜形成源可以包括通式I的铌化合物、包含期望金属的另一种化合物和/或包含半金属的化合物(在下文中,称为“另一种前体”)。在根据实施例的形成薄膜的方法中使用的薄膜形成源还可以包括有机溶剂和/或亲核试剂。通式I的铌化合物可以具有适当的物理性质以应用于CVD工艺或ALD工艺,并且通式1的铌化合物可以在根据实施例的形成薄膜的方法中有效地应用于CVD工艺或ALD工艺。
当薄膜形成源在根据实施例的形成薄膜的方法中用作CVD源时,可以根据在CVD工艺中使用的传送方法来适当地选择薄膜形成源的类型。可以使用气体传送方法或流体传送方法作为传送方法。
当使用气体传送方法时,CVD源可以通过在储存容器(例如,源容器212)中加热和/或减压而被蒸发,以产生蒸气。蒸气可以与根据需要使用的载气(例如,氩气、氮气和氦气)一起被引入到其中装载有衬底的反应室(例如,图2A至图2D中所示的反应室254)中。
当使用流体传送方法时,包含通式I的铌化合物的薄膜形成源可以以液相或液态输送到蒸发器(参照图2B或图2D中的258),然后,在蒸发器258中加热和/或减压以及蒸发,以产生蒸气,并且蒸气可以被引入到反应室254中。
气体传送方法可以使用通式I的铌化合物作为CVD源。流体传送方法可以使用通式I的铌化合物或通过将通式I的铌化合物溶解在有机溶剂中而获得的溶液作为CVD源。这些CVD源还可以包括另一种前体、亲核试剂或它们的组合。
在一种实施方式中,在根据实施例的形成薄膜的方法中,可以使用多组分CVD工艺来形成含铌膜。多组分CVD工艺可以通过使用独立地蒸发并提供要在CVD工艺中使用的源化合物的各个组分的方法(在下文中,被称为“单一源方法”)来执行,或者通过使用蒸发并提供通过以所需组成预先混合多组分源而获得的源混合物的方法(在下文中,被称为“混合源方法(cocktail source method)”)来执行。当使用混合源方法时,包含根据实施例的铌化合物的第一混合物、通过将第一混合物溶解在有机溶剂中而获得的第一混合溶液、包含根据实施例的铌化合物和另一种前体的第二混合物、或者通过将第二混合物溶解在有机溶剂中而获得的第二混合溶液可以在CVD工艺中用作用于形成薄膜的源化合物。
可以用于获得第一混合溶液或第二混合溶液的有机溶剂可以是合适的有机溶剂。在一种实施方式中,有机溶剂可以包括:例如,乙酸酯类,例如乙酸乙酯、乙酸正丁酯和甲氧基乙酸乙酯;醚类,例如四氢呋喃、四氢吡喃、乙二醇二甲醚、二甘醇二甲醚、三甘醇二甲醚、二丁醚和二恶烷;酮类,例如甲基丁基酮、甲基异丁基酮、乙基丁基酮、二丙基酮、二异丁基酮、甲基戊基酮、环己酮和甲基环己酮;烃类,例如己烷、环己烷、甲基环己烷、二甲基环己烷、乙基环己烷、庚烷、辛烷、甲苯和二甲苯;具有氰基的烃类,例如1-氰基丙烷、1-氰基丁烷、1-氰基己烷、氰基环己烷、氰基苯、1,3-二氰基丙烷、1,4-二氰基丁烷、1,6-二氰基己烷、1,4-二氰基环己烷和1,4-二氰基苯;吡啶;或者二甲基吡啶。考虑到溶质的溶解度、使用温度、沸点及着火点之间的关系,上述有机溶剂可以单独使用,或者可以以其中的至少两种有机溶剂的混合物使用。当使用有机溶剂时,前体的总量在CVD源中可以在约0.01mol/L至约2.0mol/L的范围内,例如,在约0.05mol/L至约1.0mol/L的范围内,所述CVD源是通过将前体溶解在有机溶剂中获得的溶液。这里,前体的总量是指:在根据实施例的形成薄膜的方法中使用的薄膜形成源不包含除了通式1的铌化合物以外的金属化合物和半金属化合物时,通式1的铌化合物的量;并且是指:在薄膜形成源包含通式I的铌化合物和包含另一种金属的化合物和/或包含半金属的化合物时,通式I的铌化合物的量与另一种前体的量的总和。
在根据实施例的形成薄膜的方法中,当使用多组分CVD工艺来形成含铌膜时,可以采用可与根据实施例的铌化合物一起使用的合适种类的另一种前体,例如,可以在CVD工艺中用作源化合物的前体。
在一种实施方式中,可在根据实施例的形成薄膜的方法中使用的另一种前体可以包括选自醇化合物、二醇化合物、β-二酮化合物、环戊二烯化合物和有机胺化合物中的至少一种有机配位化合物的化合物与选自硅和金属中的任一种的化合物。在一种实施方式中,其他前体可以包括例如锂(Li)、钠(Na)、钾(K)、镁(Mg)、钙(Ca)、锶(Sr)、钡(Ba)、钛(Ti)、锆(Zr)、铪(Hf)、钒(V)、钽(Ta)、铬(Cr)、钼(Mo)、钨(W)、锰(Mn)、铁(Fe)、钴(Co)、铑(Rh)、铱(Ir)、镍(Ni)、钯(Pd)、铂(Pt)、银(Ag)、铜(Cu)、金(Au)、锌(Zn)、铝(Al)、镓(Ga)、铟(In)、锗(Ge)、锡(Sn)、铅(Pb)、锑(Sb)、铋(Bi)、钇(Y)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钷(Pm)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)、镱(Yb)或钌(Ru)。在一种实施方式中,可以用作其他前体的有机配位化合物的醇化合物可以包括:例如,烷基醇类,例如甲醇、乙醇、丙醇、异丙醇、丁醇、仲丁醇、异丁醇、叔丁醇、戊醇、异戊醇和叔戊醇;醚醇类,例如2-甲氧基乙醇、2-乙氧基乙醇、2-丁氧基乙醇、2-(2-甲氧基乙氧基)乙醇、2-甲氧基-1-甲基乙醇、2-甲氧基-1,1-二甲基乙醇、2-乙氧基-1,1-二甲基乙醇、2-异丙氧基-1,1-二甲基乙醇、2-丁氧基-1,1-二甲基乙醇、2-(2-甲氧基乙氧基)-1,1-二甲基乙醇、2-丙氧基-1,1-二乙基乙醇、2-仲丁氧基-1,1-二乙基乙醇或3-甲氧基-1,1-二甲基丙醇;或者二烷基氨基醇类,例如二甲基氨基乙醇、乙基甲基氨基乙醇、二乙基氨基乙醇、二甲基氨基-2-戊醇、乙基甲基氨基-2-戊醇、二甲基氨基-2-甲基-2-戊醇、乙基甲基氨基-2-甲基-2-戊醇或二乙基氨基-2-甲基-2-戊醇。在一种实施方式中,可以用作其他前体的有机配位化合物的二醇化合物可以包括:例如,1,2-乙二醇、1,2-丙二醇、1,3-丙二醇、2,4-己二醇、2,2-二甲基-1,3-丙二醇、2,2-二乙基-1,3-丙二醇、1,3-丁二醇、2,4-丁二醇、2,2-二乙基-1,3-丁二醇、2-乙基-2-丁基-1,3-丙二醇、2,4-戊二醇、2-甲基-1,3-丙二醇、2-甲基-2,4-戊二醇、2,4-己二醇或2,4-二甲基-2,4-戊二醇。在一种实施方式中,可以用作其他前体的有机配位化合物的β-二酮化合物可以是:例如,烷基取代的β-二酮,例如乙酰丙酮、己烷-2,4-二酮、5-甲基己烷-2,4-二酮、庚烷-2,4-二酮、2-甲基庚烷-3,5-二酮、5-甲基庚烷-2,4-二酮、6-甲基庚烷-2,4-二酮、2,2-二甲基庚烷-3,5-二酮、2,6-二甲基庚烷-3,5-二酮、2,2,6-三甲基庚烷-3,5-二酮、2,2,6,6-四甲基庚烷-3,5-二酮、辛烷-2,4-二酮、2,2,6-三甲基辛烷-3,5-二酮、2,6-二甲基辛烷-3,5-二酮、2,9-二甲基壬烷-4,6-二酮、2-甲基-6-乙基癸烷-3,5-二酮和2,2-二甲基-6-乙基癸烷-3,5-二酮;氟取代的烷基β-二酮,例如1,1,1-三氟戊烷-2,4-二酮、1,1,1-三氟-5,5-二甲基己烷-2,4-二酮、1,1,1,5,5,5-六氟戊烷-2,4-二酮和1,3-二全氟己基丙烷-1,3-二酮;和醚取代的β-二酮,例如1,1,5,5-四甲基-1-甲氧基己烷-2,4-二酮、2,2,6,6-四甲基-1-甲氧基庚烷-3,5-二酮或2,2,6,6-四甲基-1-(2-甲氧基乙氧基)庚烷-3,5-二酮。在一种实施方式中,可以用作其他前体的有机配位化合物的环戊二烯化合物可以是:例如,环戊二烯、甲基环戊二烯、乙基环戊二烯、丙基环戊二烯、异丙基环戊二烯、丁基环戊二烯、仲丁基环戊二烯、异丁基环戊二烯、叔丁基环戊二烯、二甲基环戊二烯或四甲基环戊二烯。在一种实施方式中,可以用作其他前体的有机配位化合物的有机胺化合物可以是:例如,甲胺、乙胺、丙胺、异丙胺、丁胺、仲丁胺、叔丁胺、异丁胺、二甲胺、二乙胺、二丙胺、二异丙胺、乙基甲胺、丙甲胺或异丙甲胺。
在一种实施方式中,其他前体可以是合适的材料,并且可以使用制备其他前体的合适方法。在一种实施方式中,当将醇化合物用作其他前体的有机配位化合物时,可以通过使上述金属的无机盐或其水合物与相应的醇化合物的碱金属醇盐反应来制备前体。这里,金属的无机盐或其水合物可以包括金属卤化物或金属氮化物。碱金属醇盐可以包括醇钠、醇锂和醇钾。
当使用单一源方法时,其他前体可以包括在热和/或氧化分解行为上与通式I的铌化合物相似的化合物。当使用混合源方法时,其他前体可以包括在热和/或氧化分解行为上与通式I的铌化合物相似并且在与通式I的铌化合物混合时不因化学反应而劣化的材料。
此外,可以在根据实施例的形成薄膜的方法中使用的薄膜形成源可以包括亲核试剂以向根据实施例的通式I的铌化合物赋予稳定性,以及根据需要的其他前体。亲核试剂可以是:乙二醇醚,例如甘醇二甲醚、二甘醇二甲醚、三甘醇二甲醚和四甘醇二甲醚;冠醚,例如18-冠-6-醚、二环己基-18-冠-6-醚、24-冠-8-醚、二环己基-24-冠-8-醚和二苯并-24-冠-8-醚;多胺,例如乙二胺、N,N'-四甲基乙基二胺、二亚乙基三胺、三亚乙基四胺、四亚乙基五胺、五亚乙基六胺、1,1,4,7,7-五甲基二亚乙基三胺、1,1,4,7,10,10-六甲基三亚乙基四胺和三乙氧基三亚乙基胺;环状多胺,例如1,4,8,11-四氮杂环十四烷(cyclam)和1,4,7,10-四氮杂环十二烷(cyclen);杂环化合物,例如吡啶、吡咯烷、哌啶、吗啉、N-甲基吡咯烷、N-甲基哌啶、N-甲基吗啉、四氢呋喃、四氢吡喃、1,4-二恶烷、恶唑、噻唑和氧硫杂环戊烷(oxathiolane);β-酮酯,例如甲基乙酰乙酸(acetoacetic acid methyl)、乙基乙酰乙酸(acetoacetic acid ethyl)和乙酰乙酸-2-甲氧基乙酯(acetoacetic acid-2-methoxyethyl);或β-二酮,例如乙酰丙酮、2,4-己二酮、2,4-庚二酮、3,5-庚二酮和二叔戊酰甲烷(dipivaloylmethane)。基于1mol前体的总量,亲核试剂可以在约0.1mol至约10mol(例如,约1mol至约4mol)的范围内使用。
当通过使用根据实施例的形成薄膜的方法形成含铌膜时所使用的薄膜形成源可以保持,而除了其中包含的主要组分以外之外不包含杂质金属组分、杂质卤素组分(例如,杂质氯)和杂质有机组分。
在一种实施方式中,基于薄膜形成源的总量,在薄膜形成源中可以包含约100ppb或更少(例如,约10ppb或更少)的量的作为杂质金属组分的一种类型的金属。另外,在薄膜形成源中可以包含约1ppm或更少(例如,约100ppb或更少)的量的杂质金属组分的总量。例如,当形成构成大规模集成(LSI)器件的栅极绝缘膜、栅电极层或导电阻挡膜中包含的含铌膜时,可能需要使影响所得薄膜的电特性的碱金属元素和碱土金属元素的含量最少化。在一种实施方式中,基于薄膜形成源的总量,在薄膜形成源中可以包含约100ppm或更少(例如,约10ppm或更少,或者约1ppm或更少)的量的杂质卤素组分。
在一种实施方式中,基于薄膜形成源的总量,在薄膜形成源中可以包含约500ppm或更少(例如,约50ppm或更少,或者约10ppm或更少)的量的杂质有机组分。
在薄膜形成源中,水分可能在CVD源中引起颗粒,或者在薄膜形成工艺中引起颗粒。因此,为了减少前体、有机溶剂和亲核试剂中的每一者的水分,可以在使用它们之前预先除去前体、有机溶剂和亲核试剂中的每一者的水分。在一种实施方式中,前体、有机溶剂和亲核试剂中的每一者的水分含量可以为约10ppm或更少,例如约1ppm或更少。
在一种实施方式中,当通过使用根据实施例的形成薄膜的方法形成含铌膜时,为了减少薄膜形成源的杂质含量,可以在将薄膜形成源引入到用于形成薄膜的反应室中之前执行过滤工艺。
在一种实施方式中,当通过使用根据实施例的形成薄膜的方法形成含铌膜时,可以将使颗粒最少化的气氛维持为薄膜形成气氛,以减少或防止含铌膜受到颗粒的污染。例如,当通过使用光散射型浸没式粒子探测器在液相下测量颗粒时,可以在1ml液体中将尺寸大于约0.3μm的颗粒的数量调节为100个或更少。在另一示例中,可以在1ml液体中将尺寸大于约0.2μm的颗粒的数量调节为1000个或更少。在另一示例中,可以在1ml液体中将尺寸大于约0.2μm的颗粒的数量调节为100个或更少。
在根据实施例的形成薄膜的方法中,可以将通过蒸发根据实施例提供的铌化合物或者铌化合物与另一种前体的混合物而产生的蒸气与可以根据需要而使用的反应气体一起提供到衬底上。因此,可以根据CVD工艺使前体在衬底上依次地分解和/或反应。结果,可以使含铌膜在衬底上生长并沉积。
在根据实施例的形成薄膜的方法中,可以使用合适的传送并沉积薄膜形成源的方法以及用于制造薄膜形成源的条件和设备。
可以在根据实施例的形成薄膜的方法中使用的反应气体可以包括例如氧化性气体、还原性气体或含氮气体。氧化性气体可以是例如氧气、臭氧、二氧化氮、一氧化氮、水蒸气、过氧化氢、甲酸、乙酸或乙酸酐。还原性气体可以是例如氢气或氮气。含氮气体的示例可以包括有机胺化合物(例如,单烷基胺、二烷基胺、三烷基胺和亚烷基二胺)、肼和氨,并且可以使用示例之一或者其中至少两种的气体混合物。通式I的铌化合物可以与氨具有良好的反应性,可以在使用一种类型的含氮气体时使用氨,并且可以在使用至少两种类型的含氨气体的气体混合物时使用含有氨的气体混合物。
在根据实施例的形成薄膜的方法中,可以使用上述的蒸气传送方法、流体传送方法、单一源方法或混合源方法将薄膜形成源提供给反应室。
在一种实施方式中,在根据实施例的形成薄膜的方法中,可以通过使用通过使源气体或者源气体和反应气体仅仅由于热而发生反应来形成薄膜的热CVD工艺、通过使用热和等离子体而形成薄膜的等离子体CVD工艺、通过使用热和光而形成薄膜的光CVD工艺、通过使用热、光和等离子体而形成薄膜的光等离子体CVD工艺、或者将CVD反应分为基本单元工艺并且在分子水平上分阶段沉积薄膜的ALD工艺来形成含铌膜。
在根据实施例的形成薄膜的方法中,可以在图1的工艺P20中使用的衬底可以包括:硅;陶瓷,例如,氮化硅、氮化钛、氮化钽、氧化钛、氧化铌、氧化锆、氧化铪和氧化镧;玻璃;和/或金属,例如,金属钴。衬底可以具有板形、球形、纤维形或鳞片形。衬底的表面可以具有平面结构或者包括沟槽结构的三维(3D)结构。
在根据实施例的形成薄膜的方法中,用于形成含铌膜的薄膜形成条件可以包括反应温度(或衬底温度)、反应压力和沉积速率。
反应温度可以是根据实施例的铌化合物(例如,通式1的铌化合物)可以充分反应的温度。在一种实施方式中,反应温度可以是例如约100℃或更高的温度。在一种实施方式中,反应温度可以是例如约150℃至约400℃或约200℃至约350℃。
在一种实施方式中,反应压力可以是例如:在热CVD工艺或光CVD工艺的情况下,约10Pa到大气压的压力;在等离子体CVD工艺的情况下,约10Pa到2000Pa。
可以通过调节用于提供薄膜形成源的条件(例如,蒸发温度和蒸发压力)、反应温度和反应压力来控制沉积速率。如果沉积速率过高,则所得薄膜的特性会劣化。如果沉积速率过低,则生产率会降低。在一种实施方式中,在根据实施例的形成薄膜的方法中,含铌膜的沉积速率可以是例如约0.01nm/min至约100nm/min,或约1nm/min至约50nm/min。当通过使用ALD工艺形成含铌膜时,可以调节ALD工艺的循环次数以控制含铌膜的厚度。
在根据实施例的形成薄膜的方法中,为了根据图1的工艺P30形成含铌膜,在将包含通式I的铌化合物的薄膜形成源提供到衬底上之前,可以使薄膜形成源蒸发以产生蒸气。在这种情况下,可以在源容器中或在蒸发器(例如,图2B或图2D中所示的蒸发器258)中执行蒸发薄膜形成源的工艺。可以在约0℃至约150℃的温度下执行蒸发薄膜形成源的工艺。当在源容器中或在蒸发器中蒸发薄膜形成源时,源容器的内部压力和蒸发器的内部压力均可以在约1Pa至约10,000Pa的范围内。
可以使用ALD工艺来根据图1的工艺P30形成含铌膜。在这种情况下,含铌膜的形成可以包括:使用上述各种传送方法使薄膜形成源蒸发以形成源蒸气;将源蒸气引入到反应室中;使用源蒸气中包含的化合物在衬底的表面上形成前体薄膜;排放未反应的化合物气体;以及使前体薄膜与反应气体发生化学反应以在衬底的表面上形成含铌膜。
图3示出了根据实施例的形成薄膜的方法的流程图。参照图3,可以在工艺P40中提供衬底。图3的工艺P40可以与图1的工艺P20基本相同。
在图3的工艺P50中,可以通过使衬底交替并依次暴露于通式I的铌化合物和反应气体来形成含铌膜。
反应气体可以包括氧化性气体、还原性气体或含氮气体。可以参照以上描述来理解氧化性气体、还原性气体和含氮气体中的每一者的具体示例。
为了执行工艺P50,可以使用ALD工艺。
图4示出了根据示例实施例的在图3的工艺P50中使用ALD工艺形成含铌膜的工艺的流程图。
参照图4,在工艺P52中,可以蒸发包含通式I的铌化合物的源气体。
可以应用于蒸发源气体的工艺的温度和压力可以与使用CVD工艺蒸发薄膜形成源的上述方法中的温度和压力基本相同。例如,可以在约1Pa至约10,000Pa的压力下,在约0℃至约150℃的温度下执行蒸发源气体的工艺。
在图4的工艺P53中,可以将蒸发的源气体提供到装载了在图3的工艺P40中准备的衬底的反应室中,因此,可以在衬底上形成Nb源吸附层。Nb源吸附层可以包括蒸发的源气体的化学吸附层和物理吸附层。
在将蒸发的源气体提供到反应室中的衬底上期间,可以通过加热衬底或者加热反应室来调节工艺温度。Nb源吸附层可以通过使通式I的铌化合物的一部分分解和/或发生反应而产生,并且具有与最终获得的铌薄膜的组成不同的组成。在一些实施例中,在Nb源吸附层的形成期间,可以在室温至约500℃的范围内(例如,在约150℃至约350℃的范围内)选择工艺温度,并且可以在约1Pa至约10,000Pa(例如,约10Pa至约1,000Pa)的范围内选择工艺压力。
在图4的工艺P54中,可以将残留在衬底上的不必要的副产物从反应室排放。通过排放不必要的副产物,可以从反应室去除未反应的化合物气体或源气体的物理吸附层。
为了执行排放工艺,可以执行吹扫反应室的工艺、降低反应室的压力以对反应室进行排放的工艺或者这些工艺的组合。
可以使用例如诸如氩气(Ar)、氦气(He)和氖气(Ne)等惰性气体或者氮气(N2)作为吹扫气体执行吹扫反应室的工艺。在降低反应室的压力的工艺中,可以将反应室的压力降低到约0.01Pa至约300Pa,例如,约0.01Pa至约100Pa。
在图4的工艺P55中,可以将反应气体提供到Nb源吸附层上。反应气体可以包括氧化性气体、还原性气体或含氮气体。氧化性气体、还原性气体和含氮气体中的每一者的具体示例与上述相同。
在一种实施方式中,可以提供含氮气体作为反应气体。在这种情况下,在可以将含氮气体提供到反应室之后,由于含氮气体的作用或者含氮气体和热的作用,可以从在工艺P53中形成的Nb源吸附层获得氮化铌膜。
在图4的工艺P55中,可以通过在反应气体的提供期间对衬底或反应室施加热来升高反应温度。反应温度的范围可以从室温至约500℃,例如约150℃至约350℃。在图4的工艺P55中,在反应气体的提供期间,工艺压力的范围可以从约1Pa至约10,000Pa,例如,约10Pa至约1,000Pa。
通式I的铌化合物可以对含氮气体具有高反应性,并且可以获得具有低残余碳含量的高质量氮化铌膜作为所得产物。
在图4的工艺P56中,为了去除过量的还原性气体和残留在衬底上的不必要的副产物,可以以与工艺P54类似的方式对反应室进行排放。
在图4的工艺P57中,可以重复图4的工艺P52至工艺P56,直到形成所需厚度的膜。
可以将包括一系列工艺(即,工艺P52至工艺P56)的薄膜沉积工艺定义为一个循环,并且该循环可以重复多次,直到形成所需厚度的膜。在一种实施方式中,在执行一次循环之后,可以使用与工艺P54的排放工艺或工艺P56的排放工艺类似的方法,从反应室排放未反应的气体,然后可以执行后续的循环。
当使用参照图4描述的方法通过ALD工艺形成含铌膜时,可以在每个工艺期间将能量(例如,等离子体、光和电压)或催化剂施加到反应室。在一种实施方式中,能量可以被施加合适的时间段。在一种实施方式中,当将薄膜形成源引入到ALD系统中时,当将铌化合物引入到反应室中时,当在工艺P53中施加蒸发的源气体时,当在工艺P55中提供反应气体时,当在工艺P54或工艺P56中执行排放工艺时,或者在上述各个工艺之间,可以施加上述能量的示例。
在使用参照图4描述的方法形成含铌膜之后,可以进一步执行在惰性气氛、氧化气氛或还原气氛下对含铌膜进行退火的工艺,以获得更好的电性能。在一种实施方式中,为了去除含铌膜的表面的粗糙度,可以根据需要对含铌膜执行回流工艺。在一种实施方式中,可以在约200℃至约1,000℃(例如,约250℃至约500℃)的范围内选择的温度条件下执行退火工艺和回流工艺中的每一者。
可以使用图2A至图2D所示的任何一种沉积系统来执行通过使用根据实施例的方法形成薄膜的工艺。在一种实施方式中,沉积系统可以是如图2A至图2D所示的间歇式系统,或者可以是能够使用间歇式炉同时处理多个衬底的沉积系统。
在根据实施例的形成薄膜的方法中,可以使用包含通式1的铌化合物的薄膜形成源来形成薄膜。可以通过适当选择薄膜形成源中包括的另一种前体、反应气体和薄膜形成条件来提供所需种类(例如,金属、氧化物陶瓷、氮化物陶瓷、玻璃等)的薄膜。例如,可以通过使用根据实施例的形成薄膜的方法来形成铌金属膜、氧化铌膜、铌合金膜或含铌复合氧化物膜。铌合金膜可以包括铌-铪(Nb-Hf)合金或铌-钛(Nb-Ti)合金。
使用根据实施例的形成薄膜的方法形成的含铌膜可以用于各种用途。在一种实施方式中,含铌膜可以用于例如由动态随机存取存储器(DRAM)代表的存储装置的电极材料、电阻膜、用于硬盘记录层的抗磁性膜、或固体聚合物燃料电池。
提供以下示例和比较示例是为了突出一个或更多个实施例的特征,但是将理解的是,示例和比较示例不应被解释为限制实施例的范围,比较示例也不应被解释为在实施例的范围之外。此外,将理解的是,实施例不限于在示例和比较示例中描述的具体细节。
合成示例1
甲基环戊二烯基四氯化铌的合成
将143g(1.32mol)三甲基氯硅烷和458mL脱水四氢呋喃(THF)在氩气(Ar)气氛下放入2L的4颈烧瓶中,搅拌并冷却至约10℃的温度。在另外提供的2L的4颈烧瓶中搅拌50.0g(1.26mol)氢化钠和509mL脱水THF。在保持在约25℃的温度下的同时,将103g(1.26mol)的甲基环戊二烯逐滴加到2L的4颈烧瓶中,然后搅拌约15小时。将所得产物加入到THF溶液中,并在约25℃的温度下搅拌1小时,以引起反应。反应液经过过滤、脱溶剂和蒸馏,从而获得129g的甲基环戊二烯基三甲基硅烷。
将220g(0.806mol)的NbCl5和1.04L的脱水二氯甲烷加到新的2L的4颈烧瓶中,搅拌并冷却。将127g(0.806mol)的甲基环戊二烯基三甲基硅烷逐滴加到所得溶液中,并且通过将其加热和回流来搅拌2小时。将获得的反应溶液冷却至约0℃的温度,并且从冷却的溶液中除去沉淀物上的液体。将所得产物用己烷洗涤并脱溶剂,以获得224g甲基环戊二烯基四氯化铌(产率96%)。
(1)氢-1核磁共振(1H-NMR)(苯-D6)
6.04ppm(2H,s),5.61ppm(2H,s),1.85ppm(3H,s)
(2)元素分析(理论值)
Nb:29.8%(29.6%),C:23.3%(23.0%),H:2.0%(2.2%),Cl:44.9%(45.2%)
合成示例2
式2的化合物的合成
将在合成示例1中获得的12.0g(37.9mmol)甲基环戊二烯基四氯化铌和171mL脱水二氯甲烷在Ar气氛下加到500mL的4颈烧瓶中,搅拌并冷却至约0℃的温度。将8.39g(0.114mol)叔丁胺逐滴加到500mL的4颈烧瓶中,并且通过将其加热和回流来搅拌12小时,以引起反应。将反应液冷却至约25℃的温度,并向其中加入100mL的脱水己烷。此后,所得产物经过过滤、脱溶剂和蒸馏,从而获得3.87g的式2的化合物(产率33%)。
(1)常压热重-差热分析仪(TG-DTA)
50质量%和降低的温度约214℃(760托,氩气流率为约100mL/min,加热速率为约10℃/min)
(2)1H-NMR(苯-D6)
5.90ppm(2H,m),5.63ppm(2H,m),1.90ppm(3H,s),1.06ppm(9H,s)
(3)元素分析(理论值)
Nb:29.5%(29.6%),C:38.6%(38.3%),H:4.8%(5.1%),N:4.3%(4.4%),Cl:22.8%(22.6%)
合成示例3
式3的化合物的合成
将在合成示例1中获得的122g(0.386mol)甲基环戊二烯基四氯化铌和622mL脱水二氯甲烷在氩气氛下加到1L的4颈烧瓶中,搅拌并冷却至约0℃的温度。将98.6g(0.964mol)三乙胺和34.0g(0.386mol)叔戊胺逐滴加到1L的4颈烧瓶中,并且通过将其加热和回流来搅拌3小时,以引起反应。反应液冷却至约25℃的温度、过滤、脱溶剂、用己烷洗涤、以及进行溶剂蒸馏,以获得54.4g的式3的化合物(产率43%)。
(1)常压TG-DTA
50质量%和降低的温度约228℃(760托,Ar流率为约100mL/min,加热速率为约10℃/min)
(2)1H-NMR(苯-D6)
5.92ppm(2H,s),5.65ppm(2H,s),1.91ppm(3H,s),1.34ppm(2H,q),1.03ppm(6H,s),0.96ppm(3H,t)
(3)元素分析(理论值)
Nb:28.4%(28.3%),C:40.6%(40.3%),H:5.2%(5.5%),N:4.3%(4.3%),Cl:21.5%(21.6%)
合成示例4
式6的化合物的合成
将50.4g(0.464mol)三甲基氯硅烷和161mL脱水THF在Ar气氛下加到500mL的4颈烧瓶中,并且冷却至约10℃的温度。将17.7g(0.442mol)氢化钠和179mL脱水THF加到另外提供的500mL的4颈烧瓶中并且搅拌。在保持在约25℃的温度下的同时,将42.0g(0.442mol)乙基环戊二烯逐滴加到另外提供的500mL的4颈烧瓶中,并且搅拌15小时。将所得的产物加到含三甲基氯硅烷的THF溶液中,并且在约25℃的温度下搅拌1小时。反应液经过过滤、脱溶剂和蒸馏,从而获得50.2g乙基环戊二烯基三甲基硅烷。
将75.0g(0.278mol)NbCl5和355L脱水二氯甲烷加到新的500mL的4颈烧瓶中,搅拌并且冷却至约10℃的温度。将49.5g(0.292mol)乙基环戊二烯基三甲基硅烷逐滴加到所得溶液中,然后通过将其加热和回流来搅拌2小时。将获得的反应溶液脱溶剂,以获得90.0g的乙基环戊二烯基四氯化铌(产率99%)。
随后,将30g(91.5mol)乙基环戊二烯基四氯化铌和147mL脱水二氯甲烷加到300mL的4颈烧瓶中,搅拌并且冷却至约0℃的温度。将23.2g(0.229mol)三甲胺和9.64g(0.110mol)叔戊胺依次逐滴加到300mL的4颈烧瓶中,并且通过将其加热和回流来搅拌3小时。将反应液脱溶剂,并且将所得产物用己烷洗涤,再次脱溶剂并且蒸馏,以获得8.26g的式6的化合物(产率28%)。
(1)常压TG-DTA
50质量%和降低的温度约234℃(760托,Ar流率为约100mL/min,加热速率为约10℃/min)
(2)1H-NMR(苯-D6)
5.93ppm(2H,m),5.76ppm(2H,m),2.39ppm(2H,q),1.35ppm(2H,q),1.04ppm(6H,s),0.95ppm(6H,m)
(3)元素分析(理论值)
Nb:27.4%(27.2%),C:42.4%(42.1%),H:5.5%(5.9%),N:4.2%(4.1%),Cl:20.5%(20.7%)
估计示例1至3和比较估计示例1至3
估计铌化合物的物理性质
在表1中示出了在约25℃的温度下通过视觉观察在合成示例2、3和4中获得的式2、式3和式6的化合物的相所获得的结果以及式37的比较化合物1、式38的比较化合物2和式39的比较化合物3中的每一者的结果。表1还示出了在约25℃的温度下为固体的化合物的熔点。式37的比较化合物1、式38的比较化合物2和式39的比较化合物3中的每一者也均被包括在本发明的范围内。
Figure BDA0002553731430000251
[表1]
化合物 25℃下的相 熔点(℃)
估计示例1 式2 固体 40
估计示例2 式3 液体 -
估计示例3 式6 液体 -
比较估计示例1 式37 固体 95
比较估计示例2 式38 固体 70
比较估计示例3 式39 液体 -
如表1所示,可以看出,式3和式6的化合物以及式39的比较化合物在约25℃的温度下是液体。此外,尽管式2的化合物在约25℃的温度下是固体,但是式2的化合物具有约40℃的相对低的熔点。相比之下,可以看出,式37和式38的比较示例具有约70℃或更高的熔点。
薄膜形成示例1至3和薄膜形成比较示例1至3
通过使用在合成示例2、3和4中获得的式2、式3和式6的化合物以及式37、式38和式39的比较化合物1至3中的每一者作为薄膜形成源,在以下条件下使用图2A的沉积系统200A执行ALD工艺,以在硅衬底上形成氮化铌膜。使用X射线反射率技术来测量每个获得的氮化铌膜的厚度,使用X射线衍射(XRD)技术确认每个氮化铌膜的化合物,以及使用X射线光电子能谱(XPS)技术测量每个氮化铌膜的碳(C)含量。测量结果示出在表2中。
<条件>
反应温度(或衬底温度)为约250℃,反应气体:氨气
<工艺>
将包括一系列工艺(1)至(4)的一个循环重复150次。
(1)将通过在将源容器加热至约90℃的温度并保持在约100Pa的压力的条件下使CVD源蒸发而产生的蒸气引入到反应室中,并且在保持在约100Pa的压力下的反应室中沉积薄膜约30秒。
(2)执行氩气吹扫工艺约10秒,以从反应室去除未反应的源。
(3)将反应气体引入到反应室中,以在约100Pa的压力下进行约30秒的反应。
(4)执行氩气吹扫工艺约10秒,以从反应室去除未反应的源。
[表2]
源化合物 薄膜的厚度 薄膜的化合物 薄膜的碳含量
薄膜形成示例1 式2 6nm NbN 未检出*1
薄膜形成示例2 式3 9nm NbN 未检出*1
薄膜形成示例3 式6 8nm NbN 未检出*1
薄膜形成比较示例1 式37 3nm NbN 5atm%
薄膜形成比较示例2 式38 2nm NbN 7atm%
薄膜形成比较示例3 式39 2nm NbN 10atm%
*1:检出限为0.1atm%(原子%)
如表2所示,通过使用式37、式38和式39的比较化合物1至3作为源化合物获得的各氮化铌膜的碳含量是约5atm%或更高。相比之下,通过使用式2、式3和式6的化合物作为源化合物获得的各氮化铌膜的碳含量低于0.1atm%的检出限。从表2所示的结果可以看出,通过使用通式I的铌化合物获得了高质量的薄膜。此外,通过使用式37、式38和式39的比较化合物1至3作为源化合物获得的各氮化铌膜的厚度是约3nm或更小。相比之下,通过使用式2、式3和式6的化合物作为源化合物获得的各氮化铌膜的厚度是6nm或更大。从上述结果可以看出,使用通式I的铌化合物获得了高产率的薄膜。例如,可以看出,可以使用式3和式6的化合物作为优异的CVD源,原因在于式3和式6的化合物在约25℃的温度下为液体,并且可以使用式3和式6的化合物作为CVD源以获得高产率的薄膜。
图5A至图5J示出了根据实施例的在制造IC器件(参照图5J中的300)的方法中的各阶段的截面图。
参照图5A,可以在包括多个有源区AC的衬底310上形成层间绝缘膜320。此后,可以形成多个导电区324,以穿过层间绝缘膜320,并且连接到多个有源区AC。
衬底310可以包括诸如硅(Si)或锗(Ge)的半导体,或者诸如硅锗(SiGe)、碳化硅(SiC)、砷化镓(GaAs)、砷化铟(InAs)或磷化铟(InP)的化合物半导体。衬底310可以包括导电区,例如,掺杂阱或掺杂结构。可以由在衬底310中形成的多个器件隔离区312限定多个有源区AC。器件隔离区312可以包括氧化硅膜、氮化硅膜、氮氧化硅膜或它们的组合。层间绝缘膜320可以包括氧化硅膜。多个导电区324可以连接到诸如在衬底310上形成的场效应晶体管(FET)的开关元件(未示出)的一个端子。多个导电区324可以包括多晶硅、金属、导电金属氮化物、金属硅化物或它们的组合。
参照图5B,可以形成绝缘层328以覆盖层间绝缘膜320和多个导电区324。绝缘层328可以用作蚀刻停止层。绝缘层328可以包括相对于层间绝缘膜320和在后续工艺中形成的模制膜(参照图5C中的330)具有蚀刻选择性的绝缘材料。绝缘层328可以包括氮化硅、氮氧化硅或它们的组合。
参照图5C,可以在绝缘层328上形成模制膜330。
模制膜330可以包括氧化物膜。例如,模制膜330可以包括氧化物膜,诸如硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃(PSG)或未掺杂的硅酸盐玻璃(USG)。可以使用热CVD工艺或等离子体CVD工艺来形成模制膜330。在一种实施方式中,模制膜330可以形成为例如约
Figure BDA0002553731430000271
至约
Figure BDA0002553731430000272
的厚度。在一种实施方式中,模制膜330可以包括支撑膜。支撑膜可以包括相对于模制膜330具有蚀刻选择性的材料。支撑膜可以包括对于在后续工艺中用于去除模制膜330的蚀刻气氛(例如,包括氟化铵(NH4F)、氢氟酸(HF)和水的蚀刻剂)具有相对低的蚀刻速率的材料。在一种实施方式中,支撑膜可以包括氮化硅、碳氮化硅、氧化钽、氧化钛或它们的组合。
参照图5D,可以在模制膜330上依次形成牺牲膜342和掩模图案344。
牺牲膜342可以包括氧化物膜。掩模图案344可以包括氧化物膜、氮化物膜、多晶硅膜、光刻胶膜或它们的组合。可以通过掩模图案344限定将形成电容器的下电极的区域。
参照图5E,可以使用掩模图案344作为蚀刻掩模并且使用绝缘层328作为蚀刻停止层对牺牲膜342和模制膜330进行干蚀刻,从而形成牺牲图案342P和模制图案330P,以限定多个孔H1。在这种情况下,绝缘层328也可能由于过蚀刻工艺而被蚀刻,从而形成暴露多个导电区324的绝缘图案328P。
参照图5F,产可以从图5E的所得产物去除掩模图案344,并且可以形成下电极形成导电膜350以填充多个孔H1并且覆盖牺牲图案342P的暴露的表面。
下电极形成导电膜350可以包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物或它们的组合。在一种实施方式中,下电极形成导电膜350可以包括NbN膜。在一种实施方式中,下电极形成导电膜350可以包括NbN膜和其他导电膜的组合。其他导电膜可以包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物或它们的组合。例如,下电极形成导电膜350可以包括NbN、TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2、SrRuO3、Ir、IrO2、Pt、PtO、SRO(SrRuO3)、BSRO((Ba,Sr)RuO3)、CRO(CaRuO3)、LSCo((La,Sr)CoO3)或它们的组合。
为了形成用于形成下电极形成导电膜350的NbN膜,可以使用形成薄膜的上述方法。例如,根据参照图1、图3、图4描述的形成薄膜的方法,可以使用包含通式I的铌化合物的铌前体组合物和包含N原子的反应气体来执行CVD工艺或ALD工艺。在一种实施方式中,具有选自式1至式36的结构的铌化合物可以用作铌化合物,并且NH3可以用作反应气体。可以使用CVD工艺、金属有机CVD(MOCVD)工艺或ALD工艺来形成下电极形成导电膜350。
参照图5G,可以部分地去除下电极形成导电膜350的上部,以从下电极形成导电膜350形成多个下电极LE。
为了形成多个下电极LE,可以使用回蚀工艺或化学机械抛光(CMP)工艺去除下电极形成导电膜350的上部和牺牲图案(参照图5F中的342P),直到暴露模制图案330P的顶表面。
参照图5H,可以从图5G的所得产物去除模制图案330P,以暴露多个下电极LE的外表面。可以通过使用包括氟化铵(NH4F)、氢氟酸(HF)和水的蚀刻剂的剥离工艺来去除模制图案330P。
参照图5I,可以在多个下电极LE上形成介电膜360。
介电膜360可以形成为共形地覆盖多个下电极LE的暴露的表面。介电膜360可以包括氧化物、金属氧化物、氮化物或它们的组合。在一种实施方式中,介电膜360可以包括介电常数高于氧化硅的介电常数的高k介电膜。在一种实施方式中,介电膜360可以包括氧化铌膜。例如,介电膜360可以包括NbO膜、NbO2膜或Nb2O5膜。介电膜360可以包括单独的氧化铌膜,或者包括至少一种氧化铌膜和至少一种其他高k介电膜的组合的多层结构。在一种实施方式中,其他高k介电膜可以包括例如氧化铪、氮氧化铪、氧化铪硅、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、铌酸铅锌或它们的组合。
为了形成介电膜360,可以使用参照图1、图3或图4描述的形成薄膜的方法。在一种实施方式中,可以使用ALD工艺来形成介电膜360。在一种实施方式中,介电膜360可以具有例如约
Figure BDA0002553731430000291
至约
Figure BDA0002553731430000292
的厚度。
参照图5J,可以在介电膜360上形成上电极UE。下电极LE、介电膜360和上电极UE可以构成电容器370。
上电极UE可以包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物或它们的组合。在一种实施方式中,上电极UE可以包括例如TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2、SrRuO3、Ir、IrO2、Pt、PtO、SRO(SrRuO3)、BSRO((Ba,Sr)RuO3)、CRO(CaRuO3)、LSCo((La,Sr)CoO3)或它们的组合。可以使用CVD工艺、MOCVD工艺、物理气相沉积(PVD)工艺或ALD工艺来形成上电极UE。
在一种实施方式中,在制造图5A至图5J中所示的IC器件的方法中,多个下电极LE均可以具有柱状。在一种实施方式中,每个下电极LE可以具有杯形截面结构或者具有封闭底部部分的圆柱形截面结构。
在通过使用参照图5A至图5J描述的方法制造的IC器件300中,电容器370可以包括具有3D电极结构的下电极LE。为了补偿由于简化设计规则而导致的电容减小,具有3D结构的下电极LE的纵横比增加,并且可以使用ALD工艺来形成在深的、窄的3D空间中具有高品质的介电膜360。在参照图5A至图5J描述的根据实施例的制造IC器件的方法中,可以使用根据实施例的通式1的铌化合物形成下电极LE或介电膜360,因此,可以改善工艺稳定性。
图6A至图6C示出了根据实施例的制造IC器件400的方法中的各阶段。图6A是要形成的IC器件400的俯视图。图6B是图6A的IC器件400的透视图。图6C是沿图6A的线X-X'和线Y-Y'截取的截面构造的截面图。
参照图6A至图6C,IC器件400可以包括从衬底402突出的鳍型有源区FA。
衬底402可以包括诸如Si或Ge的半导体,或者诸如SiGe、SiC、GaAs、InAs或InP的化合物半导体。可以在衬底402上形成器件隔离膜410,以覆盖鳍型有源区FA的下侧壁。鳍型有源区FA可以在器件隔离膜410上方突出为鳍型。鳍型有源区FA可以在一个方向(或Y方向)上延长。器件隔离膜410可以包括氧化硅膜、氮化硅膜、氮氧化硅膜或它们的组合。
可以在衬底402上形成栅极结构420,并且栅极结构420可以在鳍型有源区FA上沿与鳍型有源区FA延伸的方向相交的方向(X方向)延伸。可以在栅极结构420的两侧的鳍型有源区FA中形成一对源极/漏极区430。一对源极/漏极区430可以包括从鳍型有源区FA外延生长的半导体层。一对源极/漏极区430中的每个源极/漏极区可以包括嵌入的SiGe结构,所述SiGe结构包括多个外延生长的SiGe层、外延生长的Si层或外延生长的SiC层。在一种实施方式中,如图6B中所示,一对源极/漏极区430中的每个源极/漏极区可以具有六边形截面形状。在一种实施方式中,一对源极/漏极区430中的每个源极/漏极区可以具有各种截面形状,例如,圆形、椭圆形和多边形。
可以在鳍型有源区FA和栅极结构420之间的交叉点处形成MOS晶体管TR。MOS晶体管TR可以实现为3D MOS晶体管,其中,在鳍型有源区FA的顶表面和两个侧表面上形成沟道。MOS晶体管TR可以构成NMOS晶体管或PMOS晶体管。
如图6C中所示,栅极结构420可以包括在鳍型有源区FA的表面上依次形成的界面层412、高k介电膜414、第一含金属层426A、第二含金属层426B和间隙填充金属层428。第一含金属层426A、第二含金属层426B和间隙填充金属层428可以构成栅电极420G。
可以在栅极结构420的两个侧表面上形成绝缘间隔物442。绝缘间隔物442和一对源极/漏极区430可以被层间绝缘膜444覆盖。
可以在鳍型有源区FA的表面上形成界面层412。界面层412可以包括绝缘材料,诸如氧化物膜、氮化物膜或氮氧化物膜。界面层412和高k介电膜414可以构成栅极绝缘膜。高k介电膜414可以包括介电常数比氧化硅膜的介电常数高的材料。例如,高k介电膜414可以包括氧化铌膜。例如,高k介电膜414可以包括NbO膜、NbO2膜或Nb2O5膜。高k介电膜414可以包括单独的氧化铌膜,或者包括至少一种氧化铌膜和至少一种其他高k介电膜的组合的多层结构。在一种实施方式中,高k介电膜414可以包括例如氧化铪、氮氧化铪、氧化铪硅、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、铌酸铅锌或它们的组合。
第一含金属层426A可以包括氮化钛、氮化钽、氮氧化钛或氮氧化钽。例如,第一含金属层426A可以包括氮化钛(TiN)、氮化钽(TaN)、氮化钛铝(TiAlN)、氮化钽铝(TaAlN)、氮化钛硅(TiSiN)或它们的组合。可以使用诸如ALD工艺、CVD工艺和PVD工艺的各种沉积方法来形成第一含金属层426A。第二含金属层426B与第一含金属层426A一起可以用于调节栅极结构420的功函数。可以通过使用第一含金属层426A和第二含金属层426B调节功函数来调节栅极结构420的阈值电压。在一种实施方式中,第二含金属层426B可以包括NMOS晶体管所需的N型含金属层,所述NMOS晶体管包括含有钛(Ti)或钽(Ta)的铝(Al)化合物。在一种实施方式中,第二含金属层426B可以包括碳化钛铝(TiAlC)、氮化钛铝(TiAlN)、碳氮化钛铝(TiAlCN)、铝化钛(TiAl)、碳化钽铝(TaAlC)、氮化钽铝(TaAlN)、碳氮化钽铝(TaAlCN)、铝化钽(TaAl)或它们的组合。在一种实施方式中,第二含金属层426B可以包括PMOS晶体管所需的P型含金属层。在一种实施方式中,第二含金属层426B可以包括钼(Mo)、钯(Pd)、钌(Ru)、铂(Pt)、氮化钛(TiN)、氮化钨(WN)、氮化钽(TaN)、铱(Ir)、碳化钽(TaC)、氮化钌(RuN)或氮化钼(MoN)中的至少一种。第二含金属层426B可以包括单层结构或多层结构。间隙填充金属层428可以包括钨(W)、导电金属氮化物(例如,TiN和TaN)、铝(Al)、金属碳化物、金属硅化物、金属碳化铝、金属氮化铝、金属氮化硅或它们的组合。
图7A至图7F示出了根据实施例的图6A至图6C所示的在制造IC器件400的方法中的各阶段的截面图。图7A至图7F示出了沿图6A的线X-X'和线Y-Y'截取的部分的截面构造。在图7A至图7F中,相同的附图标记用于表示与图6A至图6C中相同的元件,并且可以省略其重复的详细描述。
参照图7A,可以部分地蚀刻衬底402的上部,以形成鳍型有源区FA。
参照图7B,可以形成器件隔离膜410,以覆盖鳍型有源区FA的下部的两个侧壁。在形成器件隔离膜410之后,鳍型有源区FA的上部可以突出到器件隔离膜410上方。
参照图7C,可以在鳍型有源区FA上形成包括虚设栅极绝缘膜414D和虚设栅电极420D的虚设栅极结构DG。虚设栅极结构DG的两个侧壁可以被绝缘间隔物442覆盖。可以分别在虚设栅极结构DG的两侧的鳍型有源区FA中形成源极/漏极区430。可以在虚设栅极结构DG的两侧上形成层间绝缘膜444,以覆盖源极/漏极区430。可以形成虚设栅极结构DG,以在与鳍型有源区FA延伸的方向相交的方向(X方向)上延伸。
虚设栅极绝缘膜414D可以包括氧化硅膜,虚设栅电极420D可以包括多晶硅,并且绝缘间隔物442可以包括氮化硅膜。层间绝缘膜444可以包括氧化硅膜、氮化硅膜或它们的组合。
参照图7D,可以去除由层间绝缘膜444暴露的虚设栅极结构DG,以通过一对绝缘间隔物442之间的栅极空间GS暴露鳍型有源区FA。
参照图7E,可以在鳍型有源区FA的通过栅极空间GS暴露的表面上依次形成界面层412和高k介电膜414。
可以使用参照图1、图3或图4描述的形成薄膜的方法来形成高k介电膜414。在一种实施方式中,可以使用ALD工艺形成高k介电膜414。
参照图7F,可以在高k介电膜414上依次形成第一含金属层426A、第二含金属层426B和间隙填充金属层428,并且可以执行平坦化工艺,直到暴露层间绝缘膜444的上表面。例如,可以制造图6A至图6C中所示的IC器件400。
在参照图7A至图7F描述的制造IC器件400的方法中,可以通过使用通式I的铌化合物作为前体的ALD工艺来形成高k介电膜414。通式I的铌化合物可以提供适合于ALD工艺的源化合物的特性,例如,低熔点、高蒸气压、在液相下的传送能力、易蒸发性和高热稳定性。因此,可以使用通式I的铌化合物稳定地执行形成高k介电膜414的工艺。
在一种实施方式中,可以通过使用通式I的铌化合物作为前体的沉积工艺来制造各种IC器件。例如,可以制造各种IC器件,诸如包括使用通式I的铌化合物作为前体形成的隧穿介电膜的垂直NAND(V-NAND)闪存器件和DRAM器件、磁阻RAM(MRAM)器件、或者包括使用通式I的铌化合物作为前体形成的栅极介电膜的相变RAM(PRAM)器件。
通过总结和回顾,已经考虑了在具有高纵横比的窄的、深的空间中形成具有良好的间隙填充特性和良好的阶梯覆盖特性的含铌薄膜。另外,已经考虑了具有高运行速度和高可靠性的集成电路(IC)器件。
一个或更多个实施例可以提供可具有适合于用于形成含铌膜的源化合物的特性的铌化合物,并且可以提供优异的工艺稳定性和批量生产性。
一个或更多个实施例可以提供形成薄膜的方法,所述方法可以使用能够提供优异的工艺稳定性和批量生产性的铌化合物来提供所需的电特性。
一个或更多个实施例可以提供具有环戊二烯基的铌化合物。
本文已经公开了示例实施例,并且尽管采用了特定术语,但是仅在一般性和描述性意义上使用和解释他们,而不是出于限制的目的。在某些情况下,在提交本申请时,对于本领域的普通技术人员而言显而易见的是,结合特定实施例描述的特征、特性和/或元件可以单独使用或者与结合其他实施例描述的特征、特性和/或元件组合使用,除非另外特别指出。因此,本领域技术人员将理解的是,在不脱离由所附权利要求阐述的本发明的精神和范围的情况下,可以进行形式和细节上的各种改变。

Claims (25)

1.一种铌化合物,所述铌化合物由以下通式I表示:
[通式I]
Figure FDA0002553731420000011
其中,在通式I中,
R1、R4、R5、R6、R7和R8各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基,R4、R5、R6、R7和R8中的至少一个是C1-C6直链烷基或支链烷基,并且
R2和R3各自独立地是氢原子、卤素原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。
2.根据权利要求1所述的铌化合物,其中:
R4、R5、R6、R7和R8中的一个是C1-C3直链烷基或C3支链烷基,并且
R4、R5、R6、R7和R8中的剩余那些是氢原子。
3.根据权利要求1所述的铌化合物,其中,R4、R5、R6、R7和R8中的至少一个是氢原子。
4.根据权利要求1所述的铌化合物,其中:
R4、R5、R6、R7和R8均是甲基,并且
R2和R3各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。
5.根据权利要求1所述的铌化合物,其中,R1是C1-C5直链烷基或支链烷基。
6.根据权利要求1所述的铌化合物,其中,R2和R3中的至少一个是卤素原子。
7.根据权利要求1所述的铌化合物,其中,R2和R3中的至少一个是C1-C3直链烷基或C3支链烷基。
8.根据权利要求1所述的铌化合物,其中:
所述铌化合物由以下通式II表示:
[通式II]
Figure FDA0002553731420000021
在通式II中,
R1和R4各自独立地是C1-C6直链烷基或支链烷基,并且
R2和R3各自独立地是卤素原子、或者C1-C6直链烷基或支链烷基。
9.根据权利要求8所述的铌化合物,其中,R1是C3-C5支链烷基。
10.根据权利要求8所述的铌化合物,其中,R2和R3各自独立地是卤素原子。
11.根据权利要求8所述的铌化合物,其中,R2和R3各自独立地是C1-C3直链烷基或C3支链烷基。
12.根据权利要求8所述的铌化合物,其中:
R1是支链戊基,
R2和R3各自独立地是氯原子、C1-C3直链烷基或者C3支链烷基,并且
R4是C1-C3直链烷基或者C3支链烷基。
13.一种铌化合物,所述铌化合物由以下通式I表示:
[通式I]
Figure FDA0002553731420000031
其中,在通式I中,
R1是C1-C6直链烷基或支链烷基、或者C3-C6环烃基,
R4、R5、R6、R7和R8各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基,
R2和R3各自独立地是氢原子、卤素原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基,并且
当R4、R5、R6、R7和R8全部是甲基时,R2和R3各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。
14.一种铌化合物,所述铌化合物由以下通式II表示:
[通式II]
Figure FDA0002553731420000032
其中,在通式II中,
R1和R4各自独立地是C1-C6直链烷基或支链烷基,并且
R2和R3各自独立地是卤素原子、或者C1-C6直链烷基或支链烷基。
15.根据权利要求14所述的铌化合物,其中:
R1是叔戊基、异戊基或新戊基,
R2和R3是相同的基团,并且是氯原子、C1-C3直链烷基或者C3支链烷基,并且
R4是C1-C3直链烷基或者C3支链烷基。
16.一种形成薄膜的方法,所述方法包括通过将铌化合物提供到衬底上而在所述衬底上形成含铌膜,
其中,所述铌化合物由以下通式I表示:
[通式I]
Figure FDA0002553731420000041
其中,在通式I中,
R1、R4、R5、R6、R7和R8各自独立地是氢原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基,R4、R5、R6、R7和R8中的至少一个是C1-C6直链烷基或支链烷基,并且
R2和R3各自独立地是氢原子、卤素原子、C1-C6直链烷基或支链烷基、或者C3-C6环烃基。
17.根据权利要求16所述的方法,其中:
形成所述含铌膜包括:将包括所述铌化合物的源提供到所述衬底上;
所述源还包括选自醇化合物、二醇化合物、β-二酮化合物、环戊二烯化合物和有机胺化合物中的至少一种有机配位化合物与选自硅和金属中的任一种的化合物。
18.根据权利要求16所述的方法,其中,形成所述含铌膜包括:
在所述衬底上同时提供所述铌化合物和反应气体,或者
在所述衬底上依次提供所述铌化合物和所述反应气体。
19.根据权利要求16所述的方法,其中,所述含铌膜包括铌膜、氧化铌膜、氮化铌膜、硅化铌膜或它们的组合。
20.根据权利要求16所述的方法,其中:
所述铌化合物由以下通式II表示:
[通式II]
Figure FDA0002553731420000051
在通式II中,
R1和R4各自独立地是C1-C6直链烷基或支链烷基,并且
R2和R3各自独立地是卤素原子、或者C1-C6直链烷基或支链烷基。
21.根据权利要求20所述的方法,其中,在通式II中,R1是C3-C5支链烷基。
22.根据权利要求20所述的方法,其中,在通式II中,R2和R3各自独立地是卤素原子。
23.根据权利要求20所述的方法,其中,在通式II中,R2和R3各自独立地是C1-C3直链烷基或C3支链烷基。
24.根据权利要求20所述的方法,其中,在通式II中,R4是C1-C3直链烷基或C3支链烷基。
25.根据权利要求20所述的方法,其中,在通式II中,
R1是支链戊基,
R2和R3各自独立地是氯原子、C1-C3直链烷基或者C3支链烷基,并且
R4是C1-C3直链烷基或者C3支链烷基。
CN202010585301.0A 2019-08-06 2020-06-23 铌化合物和形成薄膜的方法 Active CN112341489B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2019-0095746 2019-08-06
KR1020190095746A KR102627457B1 (ko) 2019-08-06 2019-08-06 나이오븀 화합물과 이를 이용하는 박막 형성 방법

Publications (2)

Publication Number Publication Date
CN112341489A true CN112341489A (zh) 2021-02-09
CN112341489B CN112341489B (zh) 2024-07-12

Family

ID=74357487

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010585301.0A Active CN112341489B (zh) 2019-08-06 2020-06-23 铌化合物和形成薄膜的方法

Country Status (3)

Country Link
US (1) US11466043B2 (zh)
KR (1) KR102627457B1 (zh)
CN (1) CN112341489B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114270A (en) * 1998-08-07 2000-09-05 Equistar Chemicals, L.P. Polymerization-stable anionic ancillary ligand containing alkylimido catalysts and method of using the same
US20160251756A1 (en) * 2013-11-13 2016-09-01 Clement Lansalot-Matras Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
CN107026072A (zh) * 2015-11-30 2017-08-08 三星电子株式会社 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法
CN109154080A (zh) * 2016-05-23 2019-01-04 株式会社Adeka 薄膜形成用原料及薄膜的制造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0648230B1 (en) * 1992-07-01 1999-09-15 Exxon Chemical Patents Inc. Transition metal olefin polymerization catalysts
US5679815A (en) 1994-09-16 1997-10-21 Advanced Technology Materials, Inc. Tantalum and niobium reagents useful in chemical vapor deposition processes, and process for depositing coatings using the same
US5998657A (en) 1998-04-15 1999-12-07 Eastman Chemical Company Process for the generation of α, β-unsaturated carboxylic acids and esters using niobium catalyst
JP2004353024A (ja) 2003-05-28 2004-12-16 Asahi Denka Kogyo Kk 組成物、該組成物を含有してなる化学気相成長用原料及びこれを用いた薄膜の製造方法
DE102006037955A1 (de) 2006-08-12 2008-02-14 H.C. Starck Gmbh Tantal- und Niob-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
JP5148186B2 (ja) * 2006-08-28 2013-02-20 東ソー株式会社 イミド錯体、その製造方法、金属含有薄膜及びその製造方法
DE102007049015A1 (de) 2007-10-11 2009-04-16 H.C. Starck Gmbh Neue Tantal- und Niob-Verbindungen
EP2174942B1 (en) 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
KR20100060481A (ko) * 2008-11-27 2010-06-07 주식회사 유피케미칼 5족 금속 산화물 또는 질화물 박막 증착용 유기금속 전구체화합물 및 이를 이용한 박막 증착 방법
WO2013018366A1 (ja) 2011-08-02 2013-02-07 パナソニック株式会社 NbON膜およびNbON膜の製造方法、並びに、水素生成デバイスおよびそれを備えたエネルギーシステム
US10023462B2 (en) 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US20210388010A1 (en) * 2020-06-16 2021-12-16 Adeka Corporation Organometallic compound and method of manufacturing integrated circuit using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114270A (en) * 1998-08-07 2000-09-05 Equistar Chemicals, L.P. Polymerization-stable anionic ancillary ligand containing alkylimido catalysts and method of using the same
US20160251756A1 (en) * 2013-11-13 2016-09-01 Clement Lansalot-Matras Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
CN107026072A (zh) * 2015-11-30 2017-08-08 三星电子株式会社 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法
CN109154080A (zh) * 2016-05-23 2019-01-04 株式会社Adeka 薄膜形成用原料及薄膜的制造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
MATTHEW JOLLY ET AL.: "lntermetal Exchange of Oxo, Imido and Alkylidene Ligands", J. CHEM. SOC. DALTON TRANS., pages 1331 - 1332 *
SIMONE SCHMIDT ET AL.: "Hӧhervalente Derivate der d-Metall-Säuren X *. Imidokomplexe des fünf- und vierwertigen Niobs und Tantals mit Halbsandwich- und Metallocen-Struktur", JOURNAL OF ORGANOMETALLIC CHEMISTRY, vol. 472, pages 127 - 138, XP026648188, DOI: 10.1016/0022-328X(94)80200-9 *

Also Published As

Publication number Publication date
CN112341489B (zh) 2024-07-12
US11466043B2 (en) 2022-10-11
KR102627457B1 (ko) 2024-01-19
US20210040130A1 (en) 2021-02-11
KR20210017069A (ko) 2021-02-17

Similar Documents

Publication Publication Date Title
US10651031B2 (en) Tantalum compound
US10752645B2 (en) Method of forming a thin film
CN107026072B (zh) 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法
US8633329B2 (en) Titanium-containing precursors for vapor deposition
CN107619419B (zh) 铝化合物以及使用其形成薄膜和制造集成电路器件的方法
KR102635125B1 (ko) 증착 억제제 및 이를 이용한 유전막 형성 방법
US20210388010A1 (en) Organometallic compound and method of manufacturing integrated circuit using the same
US11746121B2 (en) Molybdenum compound and method of manufacturing integrated circuit device using the same
US20210284667A1 (en) Organometallic adduct compound and method of manufacturing integrated circuit using the same
CN112341489B (zh) 铌化合物和形成薄膜的方法
TW202235423A (zh) 含第iv族元素之先質及含第iv族元素的膜之沈積
KR102523420B1 (ko) 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법
KR102665411B1 (ko) 유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법
US20220324887A1 (en) Organometallic adduct compound and method of manufacturing integrated circuit device by using the same
US20230040334A1 (en) Yttrium compound and method of manufacturing integrated circuit device by using the same
KR20220137522A (ko) 유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법
US20240067663A1 (en) Yttrium compound, source material for forming yttrium-containing film, and method of manufacturing integrated circuit device using the same
JP2023043193A (ja) 有機金属付加化合物及びそれを用いた集積回路素子の製造方法
TW202402771A (zh) 鈮、釩、鉭成膜組成物及利用其沉積含第v(五)族之膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant