TW202249084A - 用於半導體處理的應力與覆蓋管理 - Google Patents

用於半導體處理的應力與覆蓋管理 Download PDF

Info

Publication number
TW202249084A
TW202249084A TW111115991A TW111115991A TW202249084A TW 202249084 A TW202249084 A TW 202249084A TW 111115991 A TW111115991 A TW 111115991A TW 111115991 A TW111115991 A TW 111115991A TW 202249084 A TW202249084 A TW 202249084A
Authority
TW
Taiwan
Prior art keywords
wafer
film
blanket
deformation
surface profile
Prior art date
Application number
TW111115991A
Other languages
English (en)
Inventor
普拉迪K 蘇柏拉曼央
席恩S 康
索尼 瓦吉斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202249084A publication Critical patent/TW202249084A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Optics & Photonics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Physical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了用於減少半導體晶圓的應力的方法。獨立晶圓的晶圓圖係使用計量工具產生。隨後,晶圓圖係使用空間頻率標度轉換為功率譜密度(PSD)。然後,彎曲的基本分量係透過沉積在晶圓背側上的均勻薄膜(例如氮化矽(SiN))進行補償。

Description

用於半導體處理的應力與覆蓋管理
本案之實施例涉及半導體裝置及半導體裝置製造的領域。更特定言之,本案之實施例係關於用於減少半導體晶圓的變形的方法。
積體電路已發展為可在單個晶片上包括數百萬個電晶體、電容器及電阻器的複雜裝置。在積體電路演進的過程中,功能密度(即,每晶片面積的互連裝置的數目)通常已增加,而幾何尺寸(即,可使用製造製程創建的最小元件(或生產線))已減小。
半導體晶圓上的多層薄膜及裝置佈局可導致無法輕易解決的複雜晶圓彎曲及平面內變形。通常,未能夠解決晶圓彎曲及平面內變形限制了對某些較高應力薄膜的採用,該等薄膜可具有其他有益的性質,諸如更好的蝕刻選擇性等等。
因此,需要減少半導體晶圓的變形以允許三維反及(three-dimension NAND; 3D NAND)、三維動態隨機存取記憶體(three-dimension Dynamic Random Access Memory; 3D-DRAM)及經堆疊互補金屬氧化物半導體(Complementary Metal Oxide Semiconductor; CMOS)裝置所需的標度。
本案的一或多個實施例係針對減少晶圓變形的方法。毯覆膜沉積在晶圓的背側上,該晶圓具有形成在前側上的至少一個場。至少一個場包含薄膜,該晶圓具有第一變形。對晶圓背側上的毯覆膜執行場級薄膜改質,以將晶圓變形減小至小於第一變形的第二變形。
本案的另外實施例係針對減少薄膜應力的方法。測量晶圓上的薄膜之第一表面輪廓。該晶圓具有前側及背側。薄膜形成在前側上。經測量的第一表面輪廓經分解為主分量,該等主分量包含低頻分量及高頻分量。毯覆膜經沉積在晶圓的背側上以補償第一測量表面輪廓的低頻分量。一或多個離子或光子經注入至晶圓背側中以補償高頻分量。
本案之進一步實施例係針對處理工具,該等處理工具包含計量站、毯覆膜沉積站、場級薄膜改質站及控制器。控制器經配置以確定毯覆沉積條件及場級薄膜改質條件,以將晶圓變形從第一變形減小至第二變形。
在描述本案的若干示例性實施例之前,應理解,本案不限於在以下描述中闡述的構造或製程步驟的細節。本案能夠具有其他實施例並且能夠以各種方式實踐或執行。
如本說明書及隨附申請專利範圍中所使用,術語「基板」或「晶圓」代表製程在其上起作用的表面,或表面的一部分。亦將由熟習該項技術者所理解,對基板的參考亦可僅代表基板的一部分,除非上下文另有明確指示。另外地,對在基板上沉積的參考可意謂裸基板及具有一或多個膜或特徵沉積或形成於其上的基板兩者。
如本文所使用的「基板」或「晶圓」代表在製造製程期間於其上進行薄膜處理的任何基板或在基板上形成的材料表面。例如,取決於應用,可在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜的氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,及諸如金屬、金屬氮化物、金屬合金的任何其他材料,以及其他導電材料。基板包括但不限於半導體晶圓。基板可經暴露於預處理製程以研磨、蝕刻、還原、氧化、羥基化、退火、紫外線(Ultra Violet; UV)固化、電子束固化及/或烘烤基板表面。除了直接在基板本身的表面上的薄膜處理之外,在本案中,所揭示的薄膜處理步驟中的任一者亦可在如下文中更詳細揭示的基板上形成的底層上執行,並且術語「基板表面」意欲包括如上下文指示的此底層。因此,例如,在薄膜/層或部分薄膜/層已經沉積至基板表面上的情況下,最新沉積的薄膜/層的暴露表面變為基板表面。
半導體裝置利用晶圓上的多層堆疊及薄膜。多層堆疊及薄膜具有各種位準的應力,導致晶圓上相當大的應變/彎曲。晶圓上的該應變/彎曲可能在圖案化步驟之間的晶圓夾持以及的覆蓋問題兩者中產生難題,並且降低裝置產量。一或多個實施例有利地提供了用以補償晶圓彎曲及平面內變形兩者的解決方案,從而產生較好的覆蓋及裝置產量。
在一或多個實施例中,由晶圓前側上的處理產生的簡單軸對稱彎曲幾何形狀係利用具有可調諧應力的薄膜的退火及/或背側沉積來補償。對於更加複雜的彎曲幾何形狀,遮罩佈置可用於背側薄膜。然而,如此可能需要在進一步微影步驟之前的另外的背側平坦化步驟。
本案的一或多個實施例係針對顯著改變晶圓上的應力狀態(及所得應變)的製程。在一或多個實施例中,獨立晶圓的晶圓圖係使用可用的計量工具產生。隨後,晶圓圖係使用空間頻率標度轉換為功率譜密度(power spectral density; PSD)。然後,彎曲的基本分量係透過沉積在晶圓背側上的合理「均勻」薄膜(例如氮化矽(SiN))進行補償。
晶圓彎曲典型地為具有大應變幅度的低空間頻率。在微影期間,平面內變形已經補償至一定位準,但在校正的幅度及空間頻率上皆有限制。在一或多個實施例中,薄膜經沉積在晶圓的背側上以解決低空間頻率。隨後,掃描處理(利用雷射或注入物)經用於解決高空間頻率。
在一或多個實施例中,薄膜係使用物理氣相沉積(physical vapor deposition; PVD)沉積在晶圓的背側上。如本文中所使用,術語「物理氣相沉積(PVD)」代表各種真空沉積方法。在物理氣相沉積中使用物理製程(諸如濺射和蒸發)以產生從靶材提供的塗層材料的原子、分子或離子形式的蒸氣。該蒸氣隨後經輸送至且沉積在基板表面上,從而形成塗層。在PVD製程中,基板溫度大體上低於靶材的熔化溫度,使得塗覆溫度敏感材料更為可行。PVD方法使用真空沉積,其中塗層同時沉積在整個晶圓表面上。在一些實施例中,薄膜係使用原子層沉積(atomic layer deposition; ALD)、電漿增強原子層沉積(plasma-enhanced atomic layer deposition; PEALD)、化學氣相沉積(chemical vapor deposition; CVD)或電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition; PECVD)中的一或多者沉積在晶圓的背側上。
在一或多個實施例中,PVD用於在晶圓背側沉積薄膜,以確保即使在熱處理後亦能保持應力。可沉積本領域技藝人士已知的任何適當材料。在一或多個實施例中,氮化物膜形成在晶圓背側上。在特定實施例中,氮化矽(SiN)膜形成在晶圓背側上。在一或多個實施例中,形成在晶圓背側上的薄膜包含氧化物、氮化物或氮氧化物中的一或多者。
第1圖為根據本案之一或多個實施例的減少薄膜應力的方法100的流程圖。如本文中所使用,薄膜應力代表沉積在晶圓前側上的薄膜。在均勻壓縮應力下的薄膜將使晶圓變形為碗狀。在均勻拉伸應力下的薄膜將使晶圓變形為傘狀。藉由減輕晶圓變形,薄膜應力將變得更加中性。因此,減少薄膜應力的方法亦為減小晶圓變形的方法。
在製程110中,測量基板或晶圓表面輪廓。第2A圖圖示用於本案之實施例的典型晶圓200。晶圓200或基板具有界定晶圓200的厚度T的前側202及背側204。晶圓200包括界定晶圓200的直徑D的外周邊邊緣206。在一些實施例中,晶圓具有在0.25 mm至1.5 mm的範圍內,或在0.5 mm至1.25 mm的範圍內,或在0.75 mm至1.0 mm的範圍內的厚度T。在一些實施例中,晶圓具有約100 mm、200 mm或300 mm的直徑D。
第2B圖圖示具有示出為矩形的複數個場210的晶圓200的前側202的視圖。場210為晶圓200上由圖案化和沉積製程產生的離散區域。一些實施例的晶圓200包含其上形成有複數個場210的前側202。
晶圓200上的薄膜的第一表面輪廓可經由本領域技藝人士已知的任何適當技術來測量。在一些實施例中,晶圓200的表面輪廓是在處理腔室的計量站中測量的。第一表面輪廓為至少由先前的處理條件及薄膜產生的晶圓曲率程度的量度。
第一表面輪廓提供表面可變性的量度並且包括至少兩個主要分量。主要分量中的第一者為第2B圖中所示的低頻分量220。低頻分量220是當其作用於晶圓200的大部分時通常最可見的分量。例如,低頻分量220顯示表示導致傘狀變形的拉伸薄膜應力。第二分量是高頻主要分量230,亦稱為場級分量。高頻主要分量230出現在各個場210內,導致更大數目即高頻的各個變形。晶圓200的整體變形是低頻分量220和高頻分量230組合的結果。
因此,在製程120處,經測量的第一表面輪廓經分解為主分量,該等主分量包含低頻分量220及高頻分量230。分解主分量亦可稱為主分量反摺積。
在一或多個實施例中,功率譜密度(PSD)係透過PVD膜的應變傳遞特性進行濾波,並且剩餘主要是較高的空間頻率。然後,將其用於計算晶圓背側上的經掃描子孔徑光束(離子、光子或其他能量源)的輪廓。該經掃描光束藉由局部熔化或將晶格結構變形來賦予高空間頻率應力分量。
基於經測量第一表面輪廓的低頻分量220,開發了毯覆沉積製程。毯覆薄膜沉積130在晶圓200的背側04上以抵消或補償晶圓200的前側202上的薄膜應力的低頻分量。
毯覆沉積條件係例如基於沉積在晶圓200的前側202上的薄膜來確定。所考慮的毯覆沉積參數包括但不限於沉積溫度、壓力、薄膜厚度及組成。在一些實施例中,毯覆薄膜130經沉積至在10 nm至200 nm的範圍內,或在20 nm至180 nm的範圍內,或在30 nm至160 nm的範圍內,或在40 nm至140 nm的範圍內的厚度。
在一些實施例中,毯覆薄膜130係藉由物理氣相沉積(PVD)沉積。在一些實施例中,毯覆薄膜130係藉由化學氣相沉積(PVD)沉積。在一些實施例中,毯覆薄膜130包含含矽薄膜。在一些實施例中,毯覆薄膜130包含氮化矽。在一些實施例中,毯覆薄膜130係在100 C至500 C的範圍中的溫度下沉積。
毯覆薄膜130沉積用於抵消來自前表面膜的晶圓的應力引起的彎曲。在一些實施例中,第一變形(在毯覆薄膜130沉積之前)是拋物面形狀。在一些實施例中,歸因於前表面202上的薄膜的壓縮應力,拋物面形狀在邊緣處向上轉動,從而導致碗狀外觀。在具有碗狀主分量的實施例中,沉積的毯覆膜處於壓縮應力下以抵消前表面上的薄膜的壓縮應力。換言之,毯覆薄膜經沉積以減少來自前表面上的薄膜對晶圓的壓縮應力的程度。
在一些實施例中,歸因於前表面202上的薄膜的拉伸應力,拋物面形狀在邊緣處向下轉動,從而導致傘狀外觀。在具有傘狀主分量的實施例中,沉積在背側上的毯覆膜處於拉伸應力下以抵消前表面上的薄膜的拉伸應力。換言之,毯覆薄膜經沉積以減少來自前表面上的薄膜對晶圓的拉伸應力的程度。
在一些實施例中,在毯覆薄膜沉積之後,晶圓經歷第二表面輪廓測量140。一些實施例的第二表面輪廓測量以第一表面輪廓測量的較低取樣速率發生。第二表面輪廓測量隨後經分解或反摺積為主要分量。第二輪廓測量140為包括於方法200的一些實施例中的可選製程。
在一些實施例中,第一表面輪廓用於確定薄膜應力的低頻分量及高頻分量兩者。在此類實施例中,晶圓經暴露於場級薄膜改質製程150。如以此方式使用的,術語場級膜改質係指經配置以減少歸因於的高頻主分量的晶圓變形的製程,該高頻主分量通常與前表面上的複數個場有關。
在一些實施例中,對晶圓背側上的毯覆膜的場級薄膜改質將晶圓變形減小至小於第一變形的第二變形。在一些實施例中,第一變形在晶圓直徑上大於0.5 mm、0.6 mm、0.7 mm、0.8 mm、0.9 mm或1 mm。在一些實施例中,第二變形在晶圓直徑上小於1 mm、0.9 mm、0.8 mm、0.7 mm、0.6 mm、0.5 mm或0.4 mm。第3圖圖示顯示了低頻應變分量和高頻應變分量兩者的降低的示例性應變/頻率圖。
高頻主分量,亦稱為場級分量,與前表面上各個場的數目有關。在一些實施例中,晶圓前側有100個至400個範圍內的場。在一些實施例中,每個場的寬度在10 mm至30 mm的範圍內。在一些實施例中,每個場具有在20 mm至50 mm的範圍內的長度。
無論來自第一表面輪廓還是來自第二表面輪廓的高頻主分量皆用於確定改質輪廓。在一些實施例中,場級改質150包含注入製程,該注入製程包含光子或離子注入中的一或多者。晶圓的劑量圖係基於高頻主分量確定。
在一些實施例中,場級改質包含將晶圓的背側暴露於預定圖案(來自劑量圖)的離子。在一些實施例中,場級改質包含將晶圓的背側暴露於預定圖案(來自劑量圖)的光子。在一些實施例中,在晶圓背側的毯覆膜中注入離子及/或光子補償高頻分量。
本案的另外的實施例係針對處理工具300,如第4圖中所示。處理工具300包含計量站310、毯覆沉積站320及場級改質站330。在所示的實施例中,計量站310、毯覆沉積站320及場級改質站330經連接至中央移送站340。在一些實施例中,並非所有的計量站、毯覆沉積站及場級改質站皆經直接連接至中央移送站。
所示的實施例具有用於測量、毯覆沉積及場級改質的各別站。在一些實施例中,計量站、毯覆沉積站或場級改質站中的一或多者經組合成單個部件。
一些實施例的處理工具300進一步包含控制器350,該控制器經配置以確定毯覆沉積條件及場級薄膜改質條件,以將晶圓變形從第一變形減小至第二變形。所示的控制器350經連接至中央移送腔室340。然而,本領域技藝人士將認識到,控制器可經連接至處理工具的元件中的任一者或所有者。在一些實施例中,存在多於一個控制器,其中每一控制器經配置以執行一些或所有方法。
在一些實施例中,控制器350進一步經配置以將來自計量站的晶圓前側上的薄膜的第一表面輪廓測量分解為包括低頻分量和高頻分量的主要分量,並且在毯覆沉積站中於晶圓背側上執行毯覆沉積。在一些實施例中,控制器進一步經配置以將在毯覆沉積之後晶圓前側上的薄膜的第二表面輪廓測量分解為低頻分量和高頻分量。在一些實施例中,控制器進一步經配置以在場級薄膜改質站中執行注入製程以補償第二表面輪廓測量的高頻分量。
在整個說明書中對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」的引用意謂結合實施例描述的特定特徵、結構、材料或特性包括在本案的至少一個實施例中。因此,在本說明書的各個地方出現諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之類的用語不一定代表本案的相同實施例。此外,特定特徵、結構、材料或特性可以在一或多個實施例中以任何適當的方式組合。
儘管已經參考特定實施例描述了本文的揭示內容,但是熟習該項技術者將理解,所述的實施例僅是對本案的原理和應用的說明。將對熟習該項技術者顯而易見的是,在不脫離本案的精神和範圍的情況下,可以對本案的方法及裝置進行各種改質和變化。因此,本案可包括在所附申請專利範圍及其等效物的範圍內的改質及變化。
100:方法 110:製程 120:製程 130:毯覆薄膜沉積 140:第二輪廓測量 150:場級薄膜改質製程 200:晶圓 202:前側 204:背側 206:外周邊邊緣 210:場 220:低頻分量 230:高頻主要分量 300:處理工具 310:計量站 320:毯覆沉積站 330:場級改質站 340:中央移送站 350:控制器 D:直徑 T:厚度
以能夠詳細理解本案之上述特徵的方式,可經由參考實施例獲得簡要概述於上文的本案之更特定描述,該等實施例之一些實施例圖示於附圖中。然而,應注意,附圖僅圖示本案的典型實施例並且因此不被視為限制本案之範疇,因為本案可允許其他同等有效的實施例。本文所述的實施例係以實例而非限制的方式在附圖的諸圖中示出,其中相同的元件符號指示相似的元件。
第1圖圖示根據本案之一或多個實施例的用於減少晶圓變形的方法的流程圖;
第2A圖圖示用於本案之實施例的晶圓;
第2B圖圖示根據本案之一或多個實施例的具有低頻及高頻變形分量的晶圓的前表面;
第3圖圖示在第1圖的方法之前及之後的示例性晶圓的應力頻率輪廓。
第4圖圖示根據本案之一或多個實施例的處理工具。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種減少晶圓變形的方法,該方法包括以下步驟: 將一毯覆膜沉積在一晶圓的一背側上,該晶圓具有形成在一前側上的至少一個場,該至少一個場包含一薄膜,該晶圓具有一第一變形;以及 對該晶圓背側上的該毯覆膜執行一場級薄膜改質,以將該晶圓變形減小至小於該第一變形的一第二變形。
  2. 如請求項1所述之方法,其中該毯覆膜具有從10 nm至200 nm的一範圍內的一厚度。
  3. 如請求項1所述之方法,其中沉積該毯覆膜之步驟包含以下步驟:物理氣相沉積一材料。
  4. 如請求項3所述之方法,其中該材料包含氮化矽(SiN)。
  5. 如請求項1所述之方法,其中該第一變形為拋物面形狀。
  6. 如請求項1所述之方法,其中該毯覆膜處於壓縮應力下。
  7. 如請求項1所述之方法,其中該毯覆膜處於拉伸應力下。
  8. 如請求項1所述之方法,其中該毯覆膜係在一溫度範圍下沉積。
  9. 如請求項1所述之方法,其中執行該場級薄膜改質之步驟包含以下步驟:將該晶圓的該背側暴露於一預定圖案的離子。
  10. 如請求項1所述之方法,其中執行該場級薄膜改質之步驟包含以下步驟:將該晶圓的該背側暴露於一預定圖案的光子。
  11. 如請求項1所述之方法,其中該晶圓前側有100個至400個範圍內的場。
  12. 如請求項11所述之方法,其中該等場的每一者在10 mm至30 mm的寬度範圍內。
  13. 如請求項11所述之方法,其中該等場的每一者在20 mm至50 mm的長度範圍內。
  14. 一種減少薄膜應力的方法,該方法包括以下步驟: 測量一晶圓上的該薄膜的一第一表面輪廓,該晶圓具有一前側及一背側,該薄膜形成在該前側上; 將該經測量的第一表面輪廓分解為主分量,該等主分量包含一低頻分量及一高頻分量; 將一毯覆膜沉積在該晶圓的該背側上以補償該第一測量表面輪廓的該低頻分量;以及 將一或多個離子或光子注入至該晶圓的該背側中以補償該高頻分量。
  15. 如請求項14所述之方法,進一步包含以下步驟:在將該毯覆膜沉積在該晶圓的該背側上之後測量該薄膜的一第二表面輪廓以確定該高頻分量,其中對該高頻分量的補償係基於該第二表面輪廓測量。
  16. 如請求項15所述之方法,其中該第二表面輪廓係使用比該第一表面輪廓更高的一取樣速率測量。
  17. 一種處理工具,包含: 一計量站; 一毯覆沉積站; 一場級薄膜改質站;以及 一控制器,經配置以確定毯覆沉積條件及場級薄膜改質條件,以將晶圓變形從一第一變形減小至一第二變形。
  18. 如請求項17所述之方法,其中該控制器進一步經配置以將來自該計量站的一晶圓的一前側上的一薄膜的一第一表面輪廓測量分解為包括一低頻分量和一高頻分量的主要分量,並且在該毯覆沉積站中於一晶圓的一背側上執行一毯覆沉積。
  19. 如請求項18所述之方法,其中該控制器進一步經配置以將在毯覆沉積之後該晶圓的該前側上的該薄膜的一第二表面輪廓測量分解為一低頻分量和高頻分量。
  20. 如請求項19所述之方法,其中該控制器進一步經配置以在該場級薄膜改質站中執行一注入製程以補償該第二表面輪廓測量的該高頻分量。
TW111115991A 2021-04-27 2022-04-27 用於半導體處理的應力與覆蓋管理 TW202249084A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163180645P 2021-04-27 2021-04-27
US63/180,645 2021-04-27

Publications (1)

Publication Number Publication Date
TW202249084A true TW202249084A (zh) 2022-12-16

Family

ID=83694462

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111115991A TW202249084A (zh) 2021-04-27 2022-04-27 用於半導體處理的應力與覆蓋管理

Country Status (7)

Country Link
US (1) US20220344282A1 (zh)
EP (1) EP4331006A1 (zh)
JP (1) JP2024519467A (zh)
KR (1) KR20230172588A (zh)
CN (1) CN117223088A (zh)
TW (1) TW202249084A (zh)
WO (1) WO2022232224A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11829077B2 (en) * 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
US11782411B2 (en) 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6320812B2 (ja) * 2014-03-19 2018-05-09 株式会社東芝 圧力センサの製造方法、成膜装置及び熱処理装置
US9997348B2 (en) * 2016-09-28 2018-06-12 International Business Machines Corporation Wafer stress control and topography compensation
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11195719B2 (en) * 2018-04-02 2021-12-07 Intel Corporation Reducing in-plane distortion from wafer to wafer bonding using a dummy wafer
WO2020068254A1 (en) * 2018-09-25 2020-04-02 Applied Materials, Inc. Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems

Also Published As

Publication number Publication date
WO2022232224A1 (en) 2022-11-03
JP2024519467A (ja) 2024-05-14
US20220344282A1 (en) 2022-10-27
CN117223088A (zh) 2023-12-12
EP4331006A1 (en) 2024-03-06
KR20230172588A (ko) 2023-12-22

Similar Documents

Publication Publication Date Title
US11469107B2 (en) Highly etch selective amorphous carbon film
TW202249084A (zh) 用於半導體處理的應力與覆蓋管理
US10204909B2 (en) Non-uniform gate oxide thickness for DRAM device
US9490116B2 (en) Gate stack materials for semiconductor applications for lithographic overlay improvement
JP2004063730A (ja) Soiウェーハの製造方法
TW202029433A (zh) 用於三維反及(3d nand)應用的膜堆疊覆蓋改良
CN106463456B (zh) 用于形成互连结构的钝化保护的方法
US20220415649A1 (en) Method and chamber for backside physical vapor deposition
TWI717554B (zh) 使用pvd釕的方法與裝置
US11572618B2 (en) Method and chamber for backside physical vapor deposition
US9637819B2 (en) Methods for preferential growth of cobalt within substrate features
JP2023515304A (ja) Ald生産性のための調整処置
CN109830458B (zh) 晶圆支撑结构及其形成方法
CN110752151B (zh) 硅基应力补偿金属中间层化合物半导体晶圆的结构及制备方法
CN103515312B (zh) 一种金属硬掩膜层及铜互连结构的制备方法
US20240021433A1 (en) Forming a doped hardmask
TW202400831A (zh) 氫含量減少的含矽層及其製造處理
TW546709B (en) Method to reduce the reflectivity of polysilicon layer
TW200411746A (en) Method for producing amorphous silicon layer with reduced surface defects
KR20080073154A (ko) 반도체 소자의 금속막 형성 방법