CN106463456B - 用于形成互连结构的钝化保护的方法 - Google Patents

用于形成互连结构的钝化保护的方法 Download PDF

Info

Publication number
CN106463456B
CN106463456B CN201580009997.XA CN201580009997A CN106463456B CN 106463456 B CN106463456 B CN 106463456B CN 201580009997 A CN201580009997 A CN 201580009997A CN 106463456 B CN106463456 B CN 106463456B
Authority
CN
China
Prior art keywords
layer
dielectric
barrier layer
substrate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580009997.XA
Other languages
English (en)
Other versions
CN106463456A (zh
Inventor
任河
M·B·奈克
曹勇
S·R·V·克萨普拉嘎达
石美仪
程亚娜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN106463456A publication Critical patent/CN106463456A/zh
Application granted granted Critical
Publication of CN106463456B publication Critical patent/CN106463456B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供了用于在互连结构中的绝缘材料中所形成的金属接线层上形成钝化保护结构的方法。在一个实施例中,用于在半导体器件的互连结构中的金属接线上形成钝化保护的方法包括:在并入多腔室处理系统的处理腔室中的基板上所形成的互连结构中的由电介质块状绝缘层界定的金属接线上选择性地形成金属覆层;在处理腔室中,在基板上原位地形成阻挡层,其中所述阻挡层是金属电介质层;以及在多腔室处理系统中,在阻挡层上形成电介质覆层。

Description

用于形成互连结构的钝化保护的方法
技术领域
本发明的实施例大体而言涉及用于形成互连结构的钝化保护的方法。更具体地,本发明的实施例大体而言涉及用于形成半导体器件的互连结构的钝化保护以防止过度氧化的方法。
背景技术
可靠地生产亚半微米以及更小的特征是半导体器件的下一代超大规模集成电路(VLSI)和极大规模集成电路(ULSI)的关键技术挑战之一。然而,由于推动了电路技术的限制,VLSI和ULSI互连技术的缩小尺寸已对处理能力产生了额外需求。基板上的栅极结构的可靠形成对于VLSI和ULSI成功以及对于继续努力增加各个基板和管芯的电路密度和质量是重要的。
在基板上蚀刻诸如栅极结构、浅沟槽隔离(shallow trench isolation;STI)、位线等结构或后端双镶嵌结构期间通常使用图案化的掩模(诸如光刻胶层)。通过使用微影工艺将具有期望临界尺寸的图案光学传送至光刻胶层来常规地制造图案化的掩模。随后,建立光刻胶层以移除光刻胶的不期望的部分,从而在剩余光刻胶中创建开口。
随着集成电路部件的尺寸减小(例如,减小至深亚微米尺寸),必须仔细选择制造此类部件所用的材料,以便获得满意的电性能等级。例如,当相邻金属互连件之间的距离和/或隔离互连件的电介质块状绝缘材料的厚度具有亚微米尺寸时,在金属互连件之间发生电容耦合的可能性高。相邻金属互连件之间的电容耦合可引发串扰和/或电阻-电容(RC)延迟,这降低集成电路的总体性能并且可使电路不可操作。为了最小化相邻金属互连件之间的电容耦合,需要低介电常数块状绝缘材料(例如,小于约4.0的介电常数)。低介电常数块状绝缘材料的示例包括二氧化硅(SiO2)、硅酸盐玻璃、氟硅酸盐玻璃(FSG)以及碳掺杂氧化硅(SiOC)等。
在半导体制造工艺期间,在金属CMP工艺之后,使由电介质块状绝缘材料形成的金属接线的下层上表面暴露于空气中。在用于在暴露的金属上形成互连的后续金属化工艺之前,可在不同的真空环境之间传送基板以执行不同的处理步骤。在传送期间,基板可能不得不在工艺腔室或受控环境外驻留一段时间,这段时间被称为排队时间(queue time)(Q时间)。在Q时间期间,基板暴露于周围环境条件中,所述环境条件包括大气压力和室温下的氧气和水。因此,遭受周围环境中的氧化条件的基板可在后续金属化工艺或互连件制造工艺以前在金属表面上累积原生氧化物或污染物。
一般而言,较长的Q时间允许形成较厚的氧化层。过度的原生氧化物累积或污染物可不利地影响金属元素在后续金属化工艺期间黏着于基板表面的成核能力。此外,界面处的不良黏着也可造成不期望的高接触电阻,从而引起装置的不理想的差电属性。另外,后端互连件中的金属元素的差成核可能不但影响装置的电性能,而且影响随后形成在这些装置上的导电接触材料的集成。
最近,利用含金属钝化层来覆盖在互连件中所形成的金属接线的暴露表面免受电介质块状绝缘材料的影响。含金属钝化层可最小化互连件材料中的金属接线暴露于大气/空气中,以便防止对半导体器件的损坏。此外,通常要求制造含金属钝化层所选的材料提供某种期望程度的导电性以及高防潮湿性/防污染性,以便充当界面处的良好钝化保护以及维持互连界面处的低电阻率。通过利用形成在金属接线上的此含金属钝化层,可最小化对空气/大气的暴露。然而,在一些情况中,对含金属钝化层的不适当的选择或使用可造成不足的防潮性或防扩散性,或后续等离子体工艺期间的膜劣化,从而最终导致设备故障。
因此,需要一种改进的方法以形成对于最小基板氧化下的金属暴露的具有良好界面质量控制的互连件钝化保护结构。
发明内容
提供了用于在互连结构中的绝缘材料中所形成的金属接线层上形成钝化保护结构的方法。在一个实施例中,用于在半导体器件的互连结构中的金属接线上形成钝化保护的方法包括:在并入多腔室处理系统的处理腔室中的基板上所形成的互连结构中的由电介质块状绝缘层界定的金属接线上选择性地形成金属覆层;在处理腔室中的基板上原位地形成阻挡层,其中阻挡层是金属介电层;及在多腔室处理系统中的阻挡层上形成电介质覆层。
在另一实施例中,在半导体器件的互连结构中的金属接线形成钝化保护的方法包括:在PVD处理腔室中的基板上所形成的互连结构中的由介电块状绝缘层界定的金属接线上选择性地形成金属覆层;在PVD处理腔室中,在基板上原位地形成阻挡层,其中阻挡层是金属电介质层;以及在阻挡层上形成电介质覆层。
附图说明
因此,为了可详细理解本发明的上述特征的方式,可参照实施例获得上文简要概述的本发明的更具体的描述,实施例中的一些在附图中示出。然而,应注意,附图仅示出本发明的典型实施例,且因此不应视为对本发明的范围的限制,因为本发明可允许其他同等有效的实施例。
图1示出根据本发明的工艺腔室的一个实施例的示意性横截面视图;
图2示出说明性多腔室处理系统的示意性俯视图;
图3A至图3C示出根据本发明的一个实施例的在暴露金属接线后于顺序处理步骤期间安置在半导体基板上的钝化保护结构的横截面视图;以及
图4示出根据本发明的一个实施例的在暴露金属接线后于顺序处理步骤期间安置在半导体基板上的钝化保护结构的横截面视图;
图5示出根据本发明的一个实施例的在暴露金属接线后于顺序处理步骤期间安置在半导体基板上的钝化保护结构的横截面视图;以及
图6A至图6B示出根据本发明的一个实施例的在暴露金属接线后于顺序处理步骤期间安置在半导体基板上的钝化保护结构的横截面视图。
为了促进理解,在可能的情况下,已使用相同附图标记代表附图共有的相同元素。应设想,一个实施例的元素和特征可有益地并入其他实施例,而无需复述。
然而,应注意,所附附图仅描绘本发明的示例性实施例,且因此不应视为对本发明的范围的限制,因为本发明可允许其他同等有效的实施例。
具体实施方式
提供了用于在半导体器件中的绝缘材料中所形成的金属接线层上形成钝化保护结构的方法。形成在金属接线上的钝化保护结构可有效率地保护金属接线避免暴露于大气中,从而基本上消除原生氧化物形成或污染的可能性,并且维持良好的界面控制。在一个实施例中,钝化保护结构可包括由含金属层制成的至少一个层。钝化保护结构可以是单个层、具有多个层的堆叠层、其上形成有处理层的单个或多个层堆叠。通过利用形成在金属接线上的适当的钝化保护结构,可在最少氧化物或污染产生的情况下维持金属接线,从而增加制造灵活性而不劣化装置性能。
图1描绘根据本发明的一个实施例的适合于溅射沉积材料的示例性物理气相沉积(PVD)腔室100(例如,溅射工艺腔室)。适宜的PVD腔室的示例包括Plus处理腔室和SIPPVD处理腔室,两者皆可购自美国加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.)。应设想,可购自其他制造商的处理腔室也可适合于执行本文所描述的实施例。
图1是根据一个实施例的沉积腔室100的示意性横截面视图。沉积腔室100具有界定主体105的上侧壁102、下侧壁103和盖部104,该主体105围封它的内部容积106。可在上侧壁102与下侧壁103之间安置接装板107。在沉积腔室100的内部容积106中安置基板支撑件(诸如基座108)。在下侧壁103中形成基板传送端口109以便将基板传送至内部容积106中以及从内部容积106中移出。
在一个实施例中,沉积腔室100包含溅射腔室,也称为物理气相沉积(PVD)腔室,该腔室能够在基板(诸如基板300)上沉积例如钛、氧化铝、铝、氮化铝、氮氧化铝、铜、钽、氮化钽、氮氧化钽、氮氧化钛、钨或氮化钨。
将气源110耦接至沉积腔室100以将工艺气体供应至内部容积106中。在一个实施例中,工艺气体可包括惰性气体、非反应性气体以及反应性气体(若需要)。可由气源110提供的工艺气体的示例包括但不限于氩气(Ar)、氦气(He)、氖气(Ne)、氮气(N2)、氧气(O2)以及H2O等。
将泵送装置112耦接至与内部容积106连通的沉积腔室100以控制内部容积106的压力。在一个实施例中,可将沉积腔室100的压力水平维持在约1托(Torr)或1托以下。在另一实施例中,可将沉积腔室100的压力水平维持在约500毫托或500毫托以下。在又一实施例中,可将沉积腔室100的压力水平维持在约1毫托与约300毫托之间。
盖部104可支撑溅射源114,诸如靶。在一个实施例中,溅射源114可由一材料制成,该材料包括钛(Ti)金属、钽金属(Ta)、钨(W)金属、钴(Co)、镍(Ni)、铜(Cu)、铝(Al)、上述各项的合金、上述各项的组合等。在本文描述的示例性实施例中,溅射源114可由钛(Ti)金属、钽金属(Ta)或铝(Al)制成。
可将溅射源114耦接至电源组件116,该电源组件116包含用于溅射源114的电源117。可邻近溅射源114耦接一组磁体119,这增强了在处理期间从溅射源114有效率地溅射材料。磁控管组件的示例包括电磁线性磁控管、蛇形磁控管、螺旋磁控管、双指状(double-digitated)磁控管、矩形化的螺旋磁控管等。
也可将附加的RF电源180通过基座108耦接至沉积腔室100,以视需要在溅射源114与基座108之间提供偏置功率。在一个实施例中,RF电源180可具有约1MHz与约100MHz之间(诸如约13.56MHz)的频率。
可在溅射源114与基座108之间的内部容积106中安置准直仪118。屏蔽管120可位于准直仪118和盖部104的内部附近。准直仪118包括多个孔以将气体和/或材料流量引导到内部容积106内。可将准直仪118机械地且电性地耦接至屏蔽管120。在一个实施例中,诸如通过焊接工艺,将准直仪118机械地耦接至屏蔽管120,从而使得准直仪118与屏蔽管120形成整体。在另一实施例中,准直仪118在腔室100内可以是电性浮动的。在另一实施例中,可将准直仪118耦接至电源和/或电耦接至沉积腔室100的主体105的盖部104。
屏蔽管120可包括管状主体121,该管状主体121具有在它的上表面中形成的凹部122。凹部122提供与准直仪118的下表面的匹配接口。屏蔽管120的管状主体121可包括具有内径的肩部区域123,该内径比管状主体121的剩余部分的内径小。在一个实施例中,管状主体121的内表面沿锥形表面124径向地向内转移至肩部区域123的内表面。可在腔室100中安置屏蔽环126,该屏蔽环126邻近屏蔽管120且位于屏蔽管120与接装板107中间。屏蔽环126可至少部分地安置在由屏蔽管120的肩部区域123的相对侧和接装板107的内部侧壁形成的凹部128中。
在一个方面,屏蔽环126包括轴向突出的环形侧壁127,该环形侧壁127包括一内径,该内径比屏蔽管120的肩部区域123的外径大。径向凸缘130从环形侧壁127延伸。可相对于屏蔽环126的环形侧壁127的内径表面以大于约九十度(90°)的角度形成径向凸缘130。径向凸缘130包括形成在它的下表面上的突起部132。突起部132可以是在一定向上从径向凸缘130的表面延伸的圆形脊,该定向基本上平行于屏蔽环126的环形侧壁127的内径表面。突起部132大体上适配成与安置在基座108上的边缘环136中形成的凹陷凸缘(recessedflange)134配对。凹陷凸缘134可以是形成在边缘环136中的圆形槽。突起部132与凹陷凸缘134的啮合使屏蔽环126相对于基座108的纵轴居中。通过基座108与机器人叶片(未示出)之间的协调定位校准使基板300(示为支撑在升举销140上)相对于基座108的纵轴居中。以此方式,可在沉积腔室100内使基板300居中并且可在处理期间绕基板300使屏蔽环126径向地居中。
在操作中,使具有基板300于其上的机器人叶片(未示出)延伸穿过基板传送端口109。可降低基座108以允许将基板300传送到从基座108延伸的升举销140处。可通过耦接至基座108的驱动器142控制基座108和/或升举销140的抬升和降低。可将基板300降低至基座108的基板接收表面144上。在基板300定位在基座108的基板接收表面144上的情况下,可在基板300上执行溅射沉积。在处理期间可使边缘环136与基板300电绝缘。因此,基板接收表面144可包括一高度,该高度大于邻接基板300的边缘环136的部分的高度,以使得防止基板300接触边缘环136。在溅射沉积期间,可通过使用安置于基座108中的热控制通道146来控制基板300的温度。
在溅射沉积后,可利用升举销140将基板300抬升至与基座108间隔的位置。抬升位置可以是邻近接装板107的屏蔽环126和反射器环148的一者或两者附近。接装板107包括一个或更多个灯150,一个或更多个灯150耦接至该接装板的且在反射器环148的下表面与接装板107的凹面152中间。灯150以可见光波长或近可见光波长(诸如以红外线(IR)和/或紫外线(UV)光谱)提供光学和/或辐射能。朝向基板300的背侧(即,下表面)径向向内聚焦来自灯150的能量,以加热基板300以及沉积在基板300上的材料。围绕基板300的腔室部件上的反射表面用来朝向基板300的背侧聚焦能量,并且使能量远离会损失和/或不利用能量的其他腔室部件。可将接装板107耦接至冷却剂源154,以在加热期间控制接装板107的温度。
在将基板300加热至期望温度后,将基板300降低至基座108的基板接收表面144上的位置。可经由传导利用基座108中的热控制通道146快速冷却基板300。在数秒至约一分钟内,可将基板300的温度从第一温度减少至第二温度。可通过基板传送端口109从沉积腔室100移除基板300以便进一步处理。可将基板300维持在期望的温度范围内(诸如视需要小于250摄氏度)。
将控制器198耦接至工艺腔室100。控制器198包括中央处理单元(CPU)160、存储器158和支持电路162。利用控制器198控制工艺顺序,从而调节从气源110至沉积腔室100的气体流动以及控制溅射源114的离子轰击。CPU 160可具有任何形式的通用计算机处理器,该处理器可用于工业环境中。可将软件例程存储在存储器158中,存储器158诸如随机存取存储器、只读存储器、软盘驱动器或硬盘驱动器或其他形式的数字储存器。支持电路162通常耦接至CPU 160且可包含高速缓存、时钟电路、输入/输出子系统、电源等。软件例程在被CPU160执行时将CPU 160转换为控制沉积腔室100的专用计算机(控制器)198,以使得执行根据本发明的工艺。也可通过位于腔室100远程的第二控制器(未示出)存储和/或执行软件例程。
在处理期间,材料从溅射源114溅射并且沉积在基板300的表面上。通过电源117或180相对于彼此偏压溅射源114和基板支撑基座108,以维持由气源110所供应的工艺气体形成的等离子体。来自等离子体的离子朝着溅射源114加速并且撞击溅射源114,从而导致从溅射源114移去靶材料。移去的靶材料和工艺气体在基板300上形成具有期望组成物的层。
图2是说明性多腔室处理系统200的示意性俯视图,该处理系统200可适合于执行本文所公开的工艺并且具有耦接到它的处理腔室100。系统200可包括一个或更多个负载锁定腔室202、204,用于将基板传送至系统200中以及从系统200中移出。典型地,由于系统200处于真空下,负载锁定腔室202、204可“抽空”被引入系统200中的基板。第一机器人210可在负载锁定腔室202、204与第一组一个或更多个基板处理腔室212、214、215、100(示出四个腔室)之间传送基板。每一处理腔室212、216、215、100配置成执行基板处理操作中的至少一者,该基板处理操作诸如蚀刻工艺、周期性层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、脱气、预清洗、定向以及其他基板工艺。用于相对于其他腔室212、216、215执行蚀刻工艺的处理腔室100的位置是出于说明目的,且可任选地将处理腔室100的位置与处理腔室212、216、215中的任一者交换。
第一机器人210也可将基板传送至一个或更多个传送腔室222、224中或从一个或更多个传送腔室222、224中移出基板。传送腔室222、224可用于维持超高真空条件,同时允许在系统200内传送基板。第二机器人230可在传送腔室222、224与第二组一个或更多个处理腔室232、234、236、238之间传送基板。类似于处理腔室212、216、215、100,可配备处理腔室232、234、236、238以执行各种基板处理操作,这些操作包括本文所描述的干式蚀刻工艺以及任何其他适宜工艺,例如包括沉积、预清洗、脱气和定向。若对于由系统200所执行的特定工艺不需要,则可从系统200中移除基板处理腔室212、215、216、100、232、234、236、238中的任何者。
图3A至图3C描绘钝化保护结构的不同制造阶段中的基板300的横截面视图,该钝化保护结构被安置在形成互连结构302的基板300上。基板300可具有基本上平坦的表面、不均匀的表面或其上形成有结构的基本上平坦的表面。图3A所示的基板300包括形成在基板300上的互连结构302,诸如双镶嵌结构、接触互连结构等。在一个实施例中,基板300可以是一材料,该材料诸如晶态硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片以及图案化或未图案化的晶片、绝缘体上硅(siliconon insulator;SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石。基板300可具有各种尺寸,诸如200mm、300mm或450mm直径的晶片,以及矩形或正方形面板。除非另作说明,否则在具有300mm直径或450mm直径的基板上进行本文所描述的实施例和示例。
在一个实施例中,互连结构302是在接触金属或后端半导体工艺中所用的互连结构。互连结构302包括电介质块状绝缘层304,该电介质块状绝缘层304具有至少一个金属层308(诸如铜线),该金属层308安置在该电介质块状绝缘层304中且被电介质块状绝缘层304中形成的阻挡层306横向地界定。在一个实施例中,电介质块状绝缘层304是具有小于4.0的介电常数的电介质材料(例如,低k材料)。适宜材料的示例包括含碳的氧化硅(SiOC),诸如可购自应用材料公司的BLACK 电介质材料,以及其他低k聚合物,诸如聚酰胺。在图3A至图3C描绘的实施例中,电介质块状绝缘层304为含碳的氧化硅(SiOC)层。
阻挡层306经形成以防止金属自导电金属层308扩散至附近围绕的电介质块状绝缘层304。因此,阻挡层306经选择成具有良好的阻挡属性以在后续热循环与工艺期间阻挡离子扩散穿过该层。在一个实施例中,阻挡层306由含金属层(诸如TaN、TiN、TaON、TiON、Ti、Ta、含Co材料、含Ru材料、含Mn材料等)制成。在本文所描述的实施例中,阻挡层306是TaN层。
在电介质块状绝缘层304中形成的金属层308是导电材料,诸如铜、铝、钨、钴、镍或其他适宜材料。在图3A至图3C中描绘的实施例中,金属层308是铜层。
在具有平坦表面的电介质块状绝缘层304中形成金属层308后(例如,通常在化学机械研磨(CMP)工艺后),可在金属层308的暴露的上表面310上形成金属覆层312。金属覆层312可密封暴露的上表面310,以减小一旦金属层308暴露后它被氧化或污染的可能性。金属覆层312经选择成由具有相对良好抗氧化性(即,与金属层308的材料相比)和良好金属导电性的材料制成,以维持期望范围的接触电阻率。在一个实施例中,金属覆层312可以是含钴材料、含钨材料、含镍材料、含铝材料、含钌材料或含锰材料。在一个实施例中,金属覆层312为含钴层。应注意,金属覆层312可仅选择性地形成在金属层308的暴露的上表面310上。或者,可在基板300的整个表面中(包括在金属层308和电介质块状绝缘层304上方)形成金属覆层312。
在金属层308上形成金属覆层312后,接着在金属覆层312上形成阻挡层314。阻挡层314可帮助覆盖金属覆层312与金属层308两者,并且防止这两个层氧化,此举可不利地劣化装置的电属性。在一个实施例中,基板300上形成的阻挡层314可以是金属电介质层,该金属电介质层可提供良好的防潮性以及期望的电属性。阻挡层314的适宜示例包括含金属层(诸如含铝材料,包括AlN、AlO3、AlON等)、金属氮化物层或金属氧化物层。在一个实施例中,阻挡层314是具有约10埃与约100埃之间的厚度的AlN层。阻挡层314也可充当形成于其上的另一组互连结构的基底层。
应注意,金属覆层312与阻挡层314两者可在不破坏真空且没有大气暴露的情况下形成在一个PVD腔室(诸如图1中所描绘的腔室100)中。或者,可在一个或更多个不同的处理腔室中形成金属覆层312和阻挡层314,所有这些腔室集成且合并在单个真空处理系统(诸如群集系统)中,例如图2所描绘的多腔室处理系统200,以便连续地形成金属覆层312和阻挡层314而不破坏真空且不将基板300暴露于周围大气中。也可在相同或不同的处理平台或系统中的任何处理腔室中形成金属覆层312和阻挡层314。
在基板300上形成阻挡层314后,接着执行处理工艺以处理阻挡层314,从而在阻挡层314上形成经处理的层318。执行处理工艺来处理阻挡层314的表面316,以改变表面属性并且转变阻挡层314的上部来在阻挡层314上形成经处理的层318。可在诸如图1所描绘的处理腔室100的处理腔室中执行处理工艺,在该处理腔室中形成了金属覆层312和阻挡层314。或者,可在处理腔室中执行处理工艺,其中稍后视需要在经处理的层318上形成电介质覆层320。
在一个实施例中,可通过使用处理气体混合物在处理环境中形成等离子体来等离子体处理阻挡层314的表面316而执行处理工艺。处理工艺将期望的原子(诸如氮原子)合并至阻挡层314的上部中,致密化阻挡层314的表面316,形成致密化处理的层318,从而有效率地增加阻挡层314的防潮性并减小暴露于空气中时氧化的可能性。
在一个实施例中,处理气体混合物包括含氢气体、含氮气体或惰性气体中的至少一者。在一个示例中,利用含氮气体处理阻挡层314。含氮气体的适宜示例包括N2、N2O、NO2、NH3等。与处理气体混合物一起供应的惰性气体的适宜示例包括Ar、He、Kr等中的至少一者。供应至处理腔室100中的含氢气体的适宜示例包括H2、H2O等中的至少一者。
在示例性实施例中,处理气体混合物中所供应的含氮气体是N2气,其中N2气的流动速率介于约50sccm与约1000sccm之间。
在等离子体处理工艺期间,可调节若干工艺参数以控制处理工艺。在一个示例性实施例中,在约10毫托至约5000毫托之间(诸如在约400毫托与约2000毫托之间)调节工艺压力。可施加约13MHz的频率处的RF偏压功率来维持处理气体混合物中的等离子体。例如,可施加约100瓦特至约1000瓦特的RF偏压功率来维持处理腔室100内部的等离子体。可施加约13.56MHz的频率处的RF源功率来维持处理气体混合物中的等离子体。将基板温度维持在约25摄氏度至约400摄氏度之间,诸如在约25摄氏度与约250摄氏度之间。
在一个实施例中,取决于气体的操作温度、压力和流动速率,基板300经历约5秒至约5分钟之间的处理工艺。例如,可将基板暴露于预处理工艺中约30秒至约90秒。在示例性实施例中,将基板暴露于处理工艺中约90秒或90秒以下。
在基板300上形成经处理的层318后,接着在经处理的层318上形成电介质覆层320,如图3C所示。电介质覆层320可以是具有低介电常数(诸如小于4.0的低介电常数)的电介质层(例如,低k材料)。在一个实施例中,电介质覆层320可以是含碳的氧化硅(SiOC),诸如可购自应用材料公司的BLACK 电介质材料。或者,电介质覆层320可以是任何适宜的电介质材料、聚合物材料,诸如聚酰胺、SOG等。在一个实施例中,电介质覆层320可以是具有约10埃与约200埃之间的厚度的SiOC层。
图4描绘形成具有期望的钝化保护的互连结构402的另一实施例。类似地,基板300可具有在其上形成的阻挡层314,如图3A所示。随后,在阻挡层314上形成电介质起始层404,如图4所示。在此特定实施例中,利用电介质起始层404以被形成在阻挡层314上,而不是利用在阻挡层314上形成经处理的层318所执行的处理工艺。类似于如图3C所示的电介质覆层320,电介质起始层404可与电介质覆层406一起形成,可在不破坏真空或不暴露于空气的情况下在单个工艺腔室中将电介质覆层406随后形成在电介质起始层404上,以便最小化对阻挡层314的氧化。
在一个实施例中,电介质起始层404可选自一材料,该材料可帮助桥接阻挡层314和电介质覆层406。电介质起始层404可帮助阻挡层314与电介质覆层406之间的界面黏着,而没有不期望的裂纹或剥落。电介质起始层404需要被形成为薄层,以便维持良好的互连完整性而不改变总体互连结构402的导电率、电阻率和电容。在一个实施例中,电介质起始层404是无氧电介质层,以便维持阻挡层314的良好接触电阻率。
在一个实施例中,电介质起始层404是含氮化硅层或含碳化硅层。在一个示例中,电介质起始层404是具有约5埃与约20埃之间(诸如约10埃)的厚度的氮化硅层或碳氮化硅(SiCN)层。可通过CVD工艺、ALD工艺或PVD、CVD、ALD或其他适宜的等离子体处理腔室中的任何适宜的沉积技术来形成电介质起始层404。
电介质覆层406类似于由具有低介电常数(诸如小于4.0的介电常数)的电介质层(例如,低k材料)制成的电介质覆层320。在一个实施例中,电介质覆层406可以是含碳的氧化硅(SiOC),诸如可购自应用材料公司的BLACK 电介质材料。或者,电介质覆层406可以是任何适宜的电介质材料、聚合物材料,诸如聚酰胺、SOG等。
图5描绘形成具有期望的钝化保护的互连结构502的又一实施例。类似地,基板300可具有在其上形成的阻挡层314,如图3A所示。随后,可在阻挡层314上接着形成无氧电介质覆层504。无氧电介质覆层504经选择成在对阻挡层314的接触电阻率有最小影响情况下形成在阻挡层314上。相信,在具有阻挡层314(金属电介质层)的膜层中形成的过量的氧可能不可避免地且不期望地增加金属电介质层与无氧电介质覆层504之间的接触电阻率,从而不期望地影响互连结构502的电属性。
在一个实施例中,无氧电介质覆层504是碳化硅(SiC)材料或氮化硅(SiN)材料。在一个实施例中,无氧电介质覆层504是具有约5埃与约20埃之间(诸如约10埃)的厚度的SiC层。可通过CVD工艺、ALD工艺或PVD、CVD、ALD或任何适宜的等离子体处理腔室中的任何适宜的沉积技术来形成无氧电介质覆层504。
图6A至图6B描绘形成具有期望的钝化保护的互连结构602的又一实施例。类似地,基板300可具有在其上形成的阻挡层314,如图3A所示。在基板300上形成阻挡层314后,接着执行氧化工艺以在阻挡层314上形成氧化层604,如图6A所示。执行氧化工艺以将氧原子合并至阻挡层314中来改变表面属性并转变阻挡层314的上部,以形成含氧金属电介质层。在阻挡层314是氮化铝(AlN)层的实施例中,所执行的氧化工艺可将阻挡层314的上部转变成氧化层604,诸如氮氧化铝(AlON)层。如此,阻挡层314可变成具有较厚厚度且具有上部(氧化层604)的AlON层,氧化层604包含富氧的氮化铝(AlN)层,诸如形成在阻挡层314上的氮氧化铝(AlON)层。
随后,在阻挡层314上形成氧化层604后,接着执行置换/修改工艺以将并入氧化层604(例如,阻挡层314的上部)中的氧原子置换出阻挡层314,以便提供空间来将另一元素代替地合并至氧化层中。在一个实施例中,可在基板300上形成电介质覆层606,以将氧化层604置换/转变成电介质覆层606,如图6B所示。由于将电介质覆层606经选择为含氧层,来自氧化层604的氧原子倾向于与来自电介质覆层606的原子桥接,从而提供电介质覆层606与阻挡层314之间的强界面粘合网络。相信,为了形成牺牲氧化层604所执行的氧化工艺可帮助驱动来自下层阻挡层314的现有原子(铝和/或氮化物原子)以更紧密堆积的方式向下移动,以便允许氧原子的更多间隙位置被合并至该阻挡层,从而致密化下层阻挡层314的膜属性。一旦上氧化层604被去除并转变成电介质覆层606的一部分,剩余的致密且紧密堆积的阻挡层314可对下层金属层308和金属覆层312提供良好的界面钝化属性,而不使接口处的导电性变差。
在一个实施例中,可通过将阻挡层314暴露于含氧气体中来形成氧化层,该含氧气体选自CO、CO2、O2、NO2、NO、N2O、O3或H2O中的至少一者。可执行等离子体工艺以使用等离子体将含氧离解成氧离子、电荷和氧活性物种。来自氧离子、电荷或氧活性物种的氧原子接着可与来自含硅与碳气体的硅原子和/或碳原子反应,以形成期望的电介质覆层606,诸如SiOC层。含硅与碳气体的适宜示例包括TMS,或硅烷与氧化碳的组合。
在一个实施例中,随后形成在其上的电介质覆层606可以是电介质层,类似于上文所论述的具有低介电常数(诸如小于4.0的低介电常数)的电介质覆层504、404、320(例如,低介电常数材料)。在一个实施例中,电介质覆层606可以是含碳的氧化硅(SiOC),诸如可购自应用材料公司的BLACK电介质材料。或者,电介质覆层606可以是任何适宜的电介质材料、聚合物材料,诸如聚酰胺、SOG等。在一个实施例中,电介质覆层606是具有约10埃与约200埃之间的厚度的SiOC层。
应注意,可部分或完全移除氧化层604或将该氧化层604转变成电介质覆层606的一部分。在另一实施例中,可存在保留在阻挡层314上的厚度小于约10埃的氧化层604,在该阻挡层314上随后形成电介质覆层606。
在一个实施例中,可在与形成电介质覆层606相同的腔室内形成氧化层604。或者,可在与形成阻挡层314相同的腔室内形成氧化层604。另外,阻挡层314、氧化层和电介质覆层606全部可在不同腔室中、在相同腔室中或视需要在任何适宜的布置中单独地形成。
在一个实施例中,在形成电介质覆层606后,氧化层604具有约5埃与约30埃之间的厚度。可通过CVD工艺、ALD工艺或PVD、CVD、ALD或任何适宜的等离子体处理腔室中的任何适宜的沉积技术来形成氧化层604。在一个实施例中,电介质覆层606具有约10埃与约200埃之间的厚度。可通过CVD工艺、ALD工艺或PVD、CVD、ALD或任何适宜的等离子体处理腔室中的任何适宜的沉积技术来形成电介质覆层606。
应注意,可在一个处理腔室中原位地沉积并完成互连结构302中的金属覆层312、阻挡层314、处理层318以及电介质覆层320,或视需要在多腔室处理系统的不同处理腔室中非原位地沉积这些层。可在一个处理腔室中原位地沉积并完成互连结构402中的金属覆层312、阻挡层314、电介质起始层404以及电介质覆层406,或视需要在多腔室处理系统的不同处理腔室中非原位地沉积这些层。可在一个处理腔室中原位地沉积并完成互连结构502中的金属覆层312、阻挡层314以及无氧电介质覆层504,或视需要在多腔室处理系统的不同处理腔室中非原位地沉积这些层。可在一个处理腔室中原地位沉积并完成互连结构602中的金属覆层312、阻挡层314、氧化层604以及电介质覆层606,或视需要在不同处理腔室中非原位地沉积这些层。
因此,提供了用于形成互连结构中的金属接线的钝化保护的方法和设备。形成在金属接线上的阻挡层可有效率地保护金属接线避免暴露于大气中,从而消除原生氧化物形成或污染的可能性,维持良好的界面控制。通过利用形成在金属接线上的适当的钝化保护,可在最少氧化物或污染产生的情况下控制金属接线,从而增加制造灵活性而不使装置性能变差。
尽管上述内容针对本发明的实施例,但是可在不背离本发明的基本范围的情况下设计出本发明的其他和进一步的实施例,并且本发明的范围由所附权利要求书确定。

Claims (15)

1.一种用于在半导体器件的互连结构中的金属接线上形成钝化保护的方法,所述方法包含以下步骤:
在并入多腔室处理系统的处理腔室中,在金属接线上选择性地形成金属覆层,所述金属接线由形成在基板上的互连结构中的电介质块状绝缘层所界定;
在所述处理腔室中,在所述基板上原位地形成阻挡层,其中所述阻挡层是金属电介质层;以及
在所述多腔室处理系统中,在所述阻挡层上形成电介质覆层,其中所述电介质覆层是具有小于4的介电常数的低介电常数材料。
2.如权利要求1所述的方法,其中在并入所述多腔室处理系统的另一处理腔室中形成所述电介质覆层。
3.如权利要求1所述的方法,进一步包含以下步骤:
在形成所述电介质覆层前,处理所述阻挡层以在所述阻挡层上形成经处理的层。
4.如权利要求3所述的方法,其中处理所述阻挡层的步骤进一步包含以下步骤:
利用含氮气体处理所述阻挡层。
5.如权利要求1所述的方法,进一步包含以下步骤:
在形成所述电介质覆层前,在所述阻挡层上形成电介质起始层。
6.如权利要求5所述的方法,其中形成所述电介质起始层的步骤进一步包含以下步骤:
形成无氧电介质层。
7.如权利要求6所述的方法,其中所述电介质起始层是氮化硅层或碳化硅层。
8.如权利要求1所述的方法,其中所述电介质覆层是无氧电介质层。
9.如权利要求8所述的方法,其中所述无氧电介质层是碳化硅(SiC)层或氮化硅(SiN)层。
10.如权利要求1所述的方法,进一步包含以下步骤:
在形成所述电介质覆层前,通过利用含氧气体处理所述阻挡层来在所述阻挡层上形成氧化层。
11.如权利要求10所述的方法,其中所述含氧气体包括CO、CO2、O2、NO2、NO、N2O、O3以及H2O中的至少一者。
12.如权利要求10所述的方法,进一步包含以下步骤:
在形成所述电介质覆层的同时将所述氧化层转变成所述电介质覆层的一部分。
13.如权利要求12所述的方法,其中转变所述氧化层的步骤进一步包含以下步骤:
使来自所述氧化层的氧原子与来自用于形成所述电介质覆层的气体混合物的原子反应。
14.如权利要求13所述的方法,其中原子是从所述气体混合物中供应的含硅与碳的气体供应的硅原子和碳原子。
15.如权利要求13所述的方法,其中所述电介质覆层是SiOC层,并且所述阻挡层由AlN、AlO3或AlON中的至少一者制成。
CN201580009997.XA 2014-03-07 2015-01-14 用于形成互连结构的钝化保护的方法 Active CN106463456B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/201,728 US9299605B2 (en) 2014-03-07 2014-03-07 Methods for forming passivation protection for an interconnection structure
US14/201,728 2014-03-07
PCT/US2015/011453 WO2015134118A1 (en) 2014-03-07 2015-01-14 Methods for forming passivation protection for an interconnection structure

Publications (2)

Publication Number Publication Date
CN106463456A CN106463456A (zh) 2017-02-22
CN106463456B true CN106463456B (zh) 2019-07-16

Family

ID=54018085

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580009997.XA Active CN106463456B (zh) 2014-03-07 2015-01-14 用于形成互连结构的钝化保护的方法

Country Status (5)

Country Link
US (1) US9299605B2 (zh)
KR (1) KR102305536B1 (zh)
CN (1) CN106463456B (zh)
TW (1) TWI655735B (zh)
WO (1) WO2015134118A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730990B (zh) 2015-10-04 2021-06-21 美商應用材料股份有限公司 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
US9984870B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
US20180047692A1 (en) 2016-08-10 2018-02-15 Amkor Technology, Inc. Method and System for Packing Optimization of Semiconductor Devices
US10096769B2 (en) 2017-03-10 2018-10-09 International Business Machines Corporation Bottom electrode for MRAM applications
CN109346436A (zh) * 2018-09-20 2019-02-15 德淮半导体有限公司 制造半导体装置的方法
CN113767187A (zh) * 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法
KR102657866B1 (ko) * 2019-06-10 2024-04-17 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7074708B2 (en) * 1998-02-11 2006-07-11 Applied Materials, Inc. Method of decreasing the k value in sioc layer deposited by chemical vapor deposition
US7563704B2 (en) * 2005-09-19 2009-07-21 International Business Machines Corporation Method of forming an interconnect including a dielectric cap having a tensile stress

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US6613682B1 (en) 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
JP2002110644A (ja) 2000-09-28 2002-04-12 Nec Corp エッチング方法
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US7276441B1 (en) 2003-04-15 2007-10-02 Lsi Logic Corporation Dielectric barrier layer for increasing electromigration lifetimes in copper interconnect structures
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
KR100703973B1 (ko) * 2005-07-20 2007-04-06 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US7745282B2 (en) * 2007-02-16 2010-06-29 International Business Machines Corporation Interconnect structure with bi-layer metal cap
DE102007046846A1 (de) 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
US8320178B2 (en) * 2009-07-02 2012-11-27 Actel Corporation Push-pull programmable logic device cell
US8912658B2 (en) * 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7074708B2 (en) * 1998-02-11 2006-07-11 Applied Materials, Inc. Method of decreasing the k value in sioc layer deposited by chemical vapor deposition
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7563704B2 (en) * 2005-09-19 2009-07-21 International Business Machines Corporation Method of forming an interconnect including a dielectric cap having a tensile stress

Also Published As

Publication number Publication date
US9299605B2 (en) 2016-03-29
WO2015134118A1 (en) 2015-09-11
CN106463456A (zh) 2017-02-22
TWI655735B (zh) 2019-04-01
KR20160130840A (ko) 2016-11-14
KR102305536B1 (ko) 2021-09-24
TW201535658A (zh) 2015-09-16
US20150255329A1 (en) 2015-09-10

Similar Documents

Publication Publication Date Title
CN106463456B (zh) 用于形成互连结构的钝化保护的方法
CN107154395B (zh) 半导体结构及其制造方法
US7435685B2 (en) Method of forming a low-K dual damascene interconnect structure
CN102881675B (zh) 用于高性能互连的结构和方法
US7268071B2 (en) Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
JP2011508433A (ja) 自然酸化物の成長を低減するためのプラズマクリーンプロセスによるパッシベーション層の形成
TW201804567A (zh) 形成具有氣隙之半導體元件的方法
US10672653B2 (en) Metallic interconnect structures with wrap around capping layers
US20210175075A1 (en) Oxygen radical assisted dielectric film densification
US20070238254A1 (en) Method of etching low dielectric constant films
KR100917291B1 (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
JP2010199349A (ja) 半導体装置の製造方法
TW202205438A (zh) 介電材料的填充和處置方法
US9893144B1 (en) Methods for fabricating metal-insulator-metal capacitors
US20230045689A1 (en) Method of forming interconnect for semiconductor device
US20050239290A1 (en) Trench photolithography rework for removal of photoresist residue
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US11094588B2 (en) Interconnection structure of selective deposition process
US7214608B2 (en) Interlevel dielectric layer and metal layer sealing
TW455954B (en) Manufacturing process using thermal annealing process to reduce the generation of hillock on the surface of Cu damascene structure
US20190148150A1 (en) Methods for forming capping protection for an interconnection structure
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant