TWI655735B - 形成互連結構所用之鈍化保護的方法 - Google Patents

形成互連結構所用之鈍化保護的方法 Download PDF

Info

Publication number
TWI655735B
TWI655735B TW104103600A TW104103600A TWI655735B TW I655735 B TWI655735 B TW I655735B TW 104103600 A TW104103600 A TW 104103600A TW 104103600 A TW104103600 A TW 104103600A TW I655735 B TWI655735 B TW I655735B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
forming
metal
barrier layer
Prior art date
Application number
TW104103600A
Other languages
English (en)
Other versions
TW201535658A (zh
Inventor
任河
那克美荷B
曹勇
凱薩波拉加達斯瑞蘭嘉薩V
石美儀
程亞娜
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201535658A publication Critical patent/TW201535658A/zh
Application granted granted Critical
Publication of TWI655735B publication Critical patent/TWI655735B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供在互連結構中的絕緣材料中所形成之金屬接線層上形成鈍化保護結構的方法。在一個實施例中,在半導體裝置之互連結構中的金屬接線上形成鈍化保護的方法包括:在併入多腔室處理系統的處理腔室中的基板上所形成之互連結構中由介電塊狀絕緣層界定的金屬接線上選擇性形成金屬蓋層;在處理腔室中的基板上原位形成阻障層,其中阻障層係金屬介電層;及在多腔室處理系統中的阻障層上形成介電蓋層。

Description

形成互連結構所用之鈍化保護的方法
本發明之實施例大體而言係關於形成互連結構所用之鈍化保護的方法。更特定言之,本發明之實施例大體而言係關於形成半導體裝置之互連結構所用之鈍化保護以防止過度氧化的方法。
可靠地生產亞半微米及更小的特徵是半導體裝置之下一代超大型積體電路(very large scale integration;VLSI)及極大型積體電路(ultra large-scale integration;ULSI)的關鍵技術挑戰之一。然而,由於推動了電路技術的限制,VLSI及ULSI互連技術之縮小尺寸已對處理能力產生了額外需求。基板上閘極結構的可靠形成對於VLSI及ULSI成功及對於繼續努力增加個別基板及晶粒之電路密度及品質很重要。
在基板上蝕刻諸如閘極結構、淺溝槽隔離(shallow trench isolation;STI)、位元線等結構或後端雙鑲嵌結構期間通常使用圖案化遮罩(諸如光阻層)。藉由使用微影製程將具有所需臨界尺寸的圖案光學移送至光阻劑層來習知地製 造圖案化遮罩。隨後,形成光阻層以移除光阻劑之非所需部分,從而在剩餘光阻劑中產生開口。
隨著積體電路元件之尺寸減小(例如,減小至深亞 微米尺寸),必須仔細選擇製造此類元件所用之材料,以便獲得滿意的電氣效能位準。舉例而言,當相鄰金屬互連件之間的距離及/或隔離互連件的介電塊狀絕緣材料之厚度具有亞微米尺寸時,金屬互連件之間發生電容耦合之可能性為高。 相鄰金屬互連件之間的電容耦合可引發串擾及/或電阻-電容(resistance-capacitance;RC)延遲,從而降低積體電路之總體效能及可使電路不可操作。為了最小化相鄰金屬互連件之間的電容耦合,需要低介電常數塊狀絕緣材料(例如,小於約4.0的介電常數)。低介電常數塊狀絕緣材料之實例包括二氧化矽(SiO2)、矽酸鹽玻璃、氟矽酸鹽玻璃(fluorosilicate glass;FSG)及碳摻雜氧化矽(SiOC)等。
在半導體製程期間,在金屬CMP製程之後,使自介 電塊狀絕緣材料形成之金屬接線之下層上表面曝露於空氣中。在後續金屬化製程於已曝露金屬上形成互連之前,可在不同的真空環境之間移送基板以執行不同的處理步驟。在移送期間,基板可能不得不在製程腔室或受控環境外駐留一段時間,該段時間被稱為佇列時間(queue time)(Q時間)。在Q時間期間,基板被曝露於周圍環境條件中,該等環境條件包括大氣壓力及室溫下的氧氣及水。因此,在周圍環境中經歷氧化條件之基板可在後續金屬化製程或互連製造製程之前於金屬表面上累積原生氧化物或污染物。
一般而言,較長Q時間允許較厚氧化層形成。過度 原生氧化物累積或污染物可不利地影響金屬元素在後續金屬化製程期間黏著於基板表面的成核能力。此外,介面處的不良黏著亦可造成非所需高接觸電阻,從而引起裝置不理想的不良電氣特性。另外,後端互連中的金屬元素之不良成核可能不但影響裝置之電氣效能,而且影響隨後形成於該等裝置上之導電接觸材料之整合。
最近,利用含金屬鈍化層覆蓋在互連件中所形成之 金屬接線之已曝露表面免受介電塊狀絕緣材料之影響。含金屬鈍化層可最小化互連材料中的金屬接線曝露於大氣/空氣中,以便防止對半導體裝置的損壞。此外,通常需要製造含金屬鈍化層所選的材料提供某種所需程度的導電率以及高防潮濕性/防污染性,以便充當介面處的良好鈍化保護以及維持互連介面處的低電阻率。藉由利用形成於金屬接線上的此含金屬鈍化層,可最小化對空氣/大氣的曝露。然而,在一些情況中,對含金屬鈍化層的不適當選擇或使用可造成防潮性或防擴散性不足,或後續電漿製程期間的薄膜劣化,從而最終導致設備失效。
因此,需要形成對於最小基板氧化下的金屬曝露具有良好介面品質控制的互連鈍化保護結構之改良的方法。
本發明提供在互連結構中的絕緣材料中所形成之金屬接線層上形成鈍化保護結構的方法。在一個實施例中,在半導體裝置之互連結構中的金屬接線上形成鈍化保護的方法 包括:在併入多腔室處理系統的處理腔室中的基板上所形成之互連結構中由介電塊狀絕緣層界定的金屬接線上選擇性形成金屬蓋層;在處理腔室中的基板上原位形成阻障層,其中阻障層係金屬介電層;及在多腔室處理系統中的阻障層上形成介電蓋層。
在另一實施例中,在半導體裝置之互連結構中的金屬接線上形成鈍化保護的方法包括:在PVD處理腔室中的基板上所形成之互連結構中由介電塊狀絕緣層界定的金屬接線上選擇性形成金屬蓋層;在PVD處理腔室中的基板上原位形成阻障層,其中阻障層係金屬介電層;及在阻障層上形成介電蓋層。
100‧‧‧沉積室
102‧‧‧上側壁
103‧‧‧下側壁
104‧‧‧蓋部分
105‧‧‧主體
106‧‧‧內部體積
107‧‧‧接裝板
108‧‧‧基座
109‧‧‧基板移送埠
110‧‧‧氣源
112‧‧‧泵送裝置
114‧‧‧濺射源
116‧‧‧電源總成
117‧‧‧電源
118‧‧‧準直儀
119‧‧‧磁體
120‧‧‧遮蔽管
121‧‧‧管狀主體
122‧‧‧凹部
123‧‧‧肩部區域
124‧‧‧錐形表面
126‧‧‧遮蔽環
127‧‧‧環形側壁
128‧‧‧凹部
130‧‧‧徑向凸緣
132‧‧‧突起部分
134‧‧‧凹陷凸緣
136‧‧‧邊緣環
140‧‧‧升舉銷
142‧‧‧驅動器
144‧‧‧基板接收表面
146‧‧‧熱控制通道
148‧‧‧反射器環
150‧‧‧燈
152‧‧‧凹面
154‧‧‧冷卻劑源
158‧‧‧記憶體
160‧‧‧CPU
162‧‧‧支援電路
180‧‧‧RF電源
198‧‧‧控制器
200‧‧‧系統
202‧‧‧負載鎖定腔室
204‧‧‧負載鎖定腔室
210‧‧‧第一機器人
212‧‧‧處理腔室
215‧‧‧處理腔室
216‧‧‧處理腔室
222‧‧‧移送腔室
224‧‧‧移送腔室
232‧‧‧處理腔室
234‧‧‧處理腔室
236‧‧‧處理腔室
238‧‧‧處理腔室
300‧‧‧基板
302‧‧‧互連結構
304‧‧‧介電塊狀絕緣層
306‧‧‧阻障層
308‧‧‧金屬層
310‧‧‧上表面
312‧‧‧金屬蓋層
314‧‧‧阻障層
316‧‧‧表面
318‧‧‧處理層
320‧‧‧介電蓋層
402‧‧‧互連結構
404‧‧‧介電起始層
406‧‧‧介電蓋層
502‧‧‧互連結構
504‧‧‧無氧介電蓋層
602‧‧‧互連結構
604‧‧‧氧化層
606‧‧‧介電蓋層
因此,可詳細理解本發明之上述特徵之方式,可參照實施例獲得上文簡要概述之本發明之更特定描述,其中一些實施例圖示於附加圖式中。然而,應注意,附加圖式僅圖示本發明之典型實施例,且因此不欲視為本發明之範疇之限制,因為本發明可允許其他同等有效之實施例。
第1圖圖示根據本發明的製程腔室之一實施例之示意性橫截面視圖;第2圖圖示說明性多腔室處理系統之示意性俯視圖;第3A圖至第3C圖圖示根據本發明之一實施例的在曝露金屬接線之後於順序處理步驟期間安置在半導體基板上的鈍化保護結構之橫截面視圖;以及第4圖圖示根據本發明之一實施例的在曝露金屬接 線之後於順序處理步驟期間安置在半導體基板上的鈍化保護結構之橫截面視圖;第5圖圖示根據本發明之一實施例的在曝露金屬接線之後於順序處理步驟期間安置在半導體基板上的鈍化保護結構之橫截面視圖;以及第6A圖至第6B圖圖示根據本發明之一實施例的在曝露金屬接線之後於順序處理步驟期間安置在半導體基板上的鈍化保護結構之橫截面視圖。
為了促進理解,在可能的情況下,已使用相同元件符號代表諸圖共用之相同元件。應設想,一個實施例之元件及特徵可有益地併入其他實施例,而無需複述。
然而,應注意,附加圖式僅圖示本發明之示例性實施例,且因此不欲視為本發明之範疇之限制,因為本發明可允許其他同等有效之實施例。
本發明提供在半導體裝置中的絕緣材料中所形成之金屬接線層上形成鈍化保護結構的方法。金屬接線上所形成之鈍化保護結構可有效率地保護金屬接線避免曝露於大氣中,從而在實質上消除原生氧化物形成或污染的可能性,並維持良好的介面控制。在一個實施例中,鈍化保護結構可包括由含金屬層製成的至少一個層。鈍化保護結構可為單個層、具有多個層的堆疊層、其上形成有處理層的單個或多個層堆疊。藉由利用金屬接線上所形成之適當鈍化保護結構,可在最少氧化物或污染產生之情況下維持金屬接線,從而增 加製造彈性而不使裝置效能降級。
第1圖圖示根據本發明之一個實施例的適合於濺射 沉積材料的示例性物理氣相沉積(physical vapor deposition;PVD)腔室100(例如,濺射製程腔室)。適宜PVD腔室之實例包括ALPS® Plus處理腔室與SIP ENCORE® PVD處理腔室,兩者皆可購自美國加州聖克拉拉市(Santa Clara,of California)的應用材料公司(Applied Materials,Inc.)。應設想,可購自其他製造商的處理腔室亦可適合於執行本文所描述之實施例。
第1圖係根據一個實施例的沉積室100之示意性橫 截面視圖。沉積室100具有界定主體105的上側壁102、下側壁103及蓋部分104,該主體封閉內部體積106。可在上側壁102與下側壁103之間安置接裝板107。在沉積室100之內部體積106中安置基板支撐件(諸如基座108)。在下側壁103中形成基板移送埠109以便將基板移送至內部體積106中及從內部體積106中移出。
在一個實施例中,沉積室100包含濺射室,亦稱為 物理氣相沉積(PVD)腔室,該腔室能夠在基板(諸如基板300)上沉積例如鈦、氧化鋁、鋁、氮化鋁、氮氧化鋁、銅、鉭、氮化鉭、氮氧化鉭、氮氧化鈦、鎢或氮化鎢。
將氣源110耦接至沉積室100以供應製程氣體至內 部體積106中。在一個實施例中,製程氣體可包括惰性氣體、不反應性氣體及反應性氣體(若需要)。可由氣源110提供之製程氣體之實例包括但不限於氬氣(Ar)、氦氣(He)、氖氣 (Ne)、氮氣(N2)、氧氣(O2)及H2O等。
將泵送裝置112耦接至與內部體積106連通的沉積 室100以控制內部體積106之壓力。在一個實施例中,可將沉積室100之壓力位準維持在約1托或以下。在另一實施例中,可將沉積室100之壓力位準維持在約500毫托或以下。 在又一實施例中,可將沉積室100之壓力位準維持在約1毫托與約300毫托之間。
蓋部分104可支撐濺射源114,諸如靶。在一個實 施例中,濺射源114可由一材料製成,該材料含有鈦(Ti)金屬、鉭金屬(Ta)、鎢(W)金屬、鈷(Co)、鎳(Ni)、銅(Cu)、鋁(Al)、上述材料之合金、上述材料之組合等。在本文描述的一示例性實施例中,濺射源114可由鈦(Ti)金屬、鉭金屬(Ta)或鋁(Al)製成。
可將濺射源114耦接至電源總成116,該總成包含 用於濺射源114的電源117。可鄰近濺射源114耦接一組磁體119,該組磁體增強了於處理期間自濺射源114有效率地濺射材料。磁控管總成之實例包括電磁線性磁控管、蛇形線磁控管、螺旋磁控管、雙指式(double-digitated)磁控管、矩形螺旋磁控管等。
亦可將額外RF電源180穿過基座108耦接至沉積 室100,以視需要在濺射源114與基座108之間提供偏壓功率。在一個實施例中,RF電源180可具有約1MHz與約100MHz之間(諸如約13.56MHz)的頻率。
可在濺射源114與基座108之間的內部體積106中 安置準直儀118。遮蔽管120可位於準直儀118及蓋部分104之內部附近。準直儀118包括複數個孔以導向內部體積106內的氣體及/或材料流量。可將準直儀118機械地及電氣地耦接至遮蔽管120。在一個實施例中,將準直儀118機械地耦接至遮蔽管120,諸如藉由焊接製程,使得準直儀118與遮蔽管120形成整體。在另一實施例中,準直儀118在腔室100內可以是電氣浮動的。在另一實施例中,可將準直儀118耦接至電源及/或電氣耦接至沉積室100之主體105之蓋部分104。
遮蔽管120可包括在上表面中形成有凹部122的管狀主體121。凹部122提供與準直儀118之下表面匹配的介面。遮蔽管120之管狀主體121可包括具有內徑的肩部區域123,該內徑比管狀主體121之剩餘部分之內徑更小。在一個實施例中,管狀主體121之內表面沿錐形表面124徑向向內轉移至肩部區域123之內表面。可在腔室100中安置遮蔽環126,該遮蔽環鄰近遮蔽管120且位於遮蔽管120與接裝板107中間。可在由遮蔽管120之肩部區域123之相對側及接裝板107之內部側壁形成的凹部128中至少部分地安置遮蔽環126。
在一個態樣中,遮蔽環126包括軸向突出環形側壁127,該側壁包括一內徑,該內徑比遮蔽管120之肩部區域123之外徑更大。徑向凸緣130自環形側壁127延伸。可相對於遮蔽環126之環形側壁127之內徑表面以大於約九十度(90°)的角度形成徑向凸緣130。徑向凸緣130包括形成於下表面上的突起部分132。突起部分132可為在一定向上延伸自徑向凸 緣130之表面的圓形脊,該定向實質上平行於遮蔽環126之環形側壁127之內徑表面。突起部分132大體上經調適與安置於基座108上的邊緣環136中所形成之凹陷凸緣134匹配。凹陷凸緣134可為形成於邊緣環136中的圓形槽。突起部分132與凹陷凸緣134之嚙合使遮蔽環126相對於基座108之縱向軸置中。藉由基座108與機器人葉片(未圖示)之間的協調定位校準使基板300(圖示被支撐在升舉銷140上)相對於基座108之縱向軸置中。以此方式,可在沉積室100內使基板300置中,且可在處理期間圍繞基板300使遮蔽環126徑向置中。
在操作中,使具有基板300的機器人葉片(未圖示)延伸穿過基板移送埠109。可降低基座108以允許將基板300移送到延伸自基座108的升舉銷140處。可藉由耦接至基座108的驅動器142控制基座108及/或升舉銷140之抬升及降低。可將基板300降低至基座108之基板接收表面144上。在基板300安置於基座108之基板接收表面144上的情況下,可在基板300上執行濺射沉積。在處理期間可使邊緣環136與基板300電氣絕緣。因此,基板接收表面144可包括一高度,該高度比鄰接基板300的邊緣環136部分之高度更高,以使得防止基板300接觸邊緣環136。在濺射沉積期間,可藉由使用安置於基座108中的熱控制通道146控制基板300之溫度。
在濺射沉積後,可利用升舉銷140抬升基板300至與基座108間隔的位置。抬升位置可位於鄰近接裝板107的遮蔽環126及反射器環148之一者或兩者附近。接裝板107 包括耦接至該接裝板的一或更多個燈150,該等燈位於反射器環148之下表面與接裝板107之凹面152中間。燈150以可見光波長或近可見光波長提供光學及/或輻射能,諸如以紅外線(infra-red;IR)及/或紫外線(ultraviolet;UV)光譜提供。朝向基板300之背側(亦即,下表面)徑向向內聚焦來自燈150的能量,以加熱基板300及沉積在基板上的材料。圍繞基板300的腔室部件上的反射表面用來朝向基板300之背側聚焦能量,及使能量遠離會損失及/或不用能量的其他腔室部件。可將接裝板107耦接至冷卻劑源154,以在加熱期間控制接裝板107之溫度。
在將基板300加熱至所需溫度後,將基板300降低至基座108之基板接收表面144上的位置。可利用基座108中的熱控制通道146經由傳導快速冷卻基板300。在數秒至約一分鐘內,可將基板300之溫度從第一溫度遞減至第二溫度。可自沉積室100穿過基板移送埠109移除基板300以便進一步處理。可將基板300維持在所需溫度範圍內(諸如視需要小於250攝氏度)。
將控制器198耦接至製程腔室100。控制器198包括中央處理單元(central processing unit;CPU)160、記憶體158及支援電路162。利用控制器198控制製程順序,調節自氣源110至沉積室100的氣體流動,及控制濺射源114之離子轟擊。CPU 160可具有任何形式之通用電腦處理器,該處理器可用於工業環境中。可將軟體常式儲存於記憶體158中,該記憶體諸如隨機存取記憶體、唯讀記憶體、軟碟機或硬碟 機或其他形式之數位儲存器。將支援電路162習知地耦接至CPU 160,且該等支援電路可包含快取記憶體、時脈電路、輸入/輸出子系統、電源等。當由CPU 160執行軟體常式時,該等軟體常式將CPU 160轉換為控制沉積室100的專用電腦(控制器)198,以使得根據本發明執行該等製程。亦可藉由遠離腔室100定位的第二控制器(未圖示)儲存及/或執行軟體常式。
在處理期間,自濺射源114濺射材料,並將材料沉積在基板300之表面上。藉由電源117或180相對於彼此偏壓濺射源114及基板支撐基座108,以維持由氣源110所供應之製程氣體形成的電漿。朝著濺射源114加速來自電漿的離子且該等離子撞擊濺射源114,從而引發從濺射源114移去靶材料。移去的靶材料及製程氣體在基板300上形成具有所需組成物的層。
第2圖係說明性多腔室處理系統200之示意性俯視圖,該處理系統可適合於執行本文所揭示之製程,並耦接有處理腔室100。系統200可包括一或更多個負載鎖定腔室202、204,該等腔室用於將基板移送至系統200中及從系統200中移出。通常,由於系統200處於真空下,負載鎖定腔室202、204可「抽空」被引入系統200中的基板。第一機器人210可在負載鎖定腔室202、204與第一組一或更多個基板處理腔室212、216、215、100(圖示四個腔室)之間移送基板。每一處理腔室212、216、215、100經配置執行基板處理操作中的至少一者,該基板處理操作諸如蝕刻製程、週期性層沉 積(cyclical layer deposition;CLD)、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(PVD)、脫氣、預清洗、定向及其他基板製程。用於相對於其他腔室212、216、215執行蝕刻製程的處理腔室100之位置係出於說明目的,且若需要可視情況與處理腔室212、216、215中的任一者交換處理腔室100之位置。
第一機器人210亦可移送基板至一或更多個移送腔 室222、224中或從一或更多個移送腔室222、224中移出基板。移送腔室222、224可用於維持超高真空條件,同時允許在系統200內移送基板。第二機器人230可在移送腔室222、224與第二組一或更多個處理腔室232、234、236、238之間移送基板。類似於處理腔室212、216、215、100,可配備處理腔室232、234、236、238執行各種基板處理操作,該等操作包括本文所描述之乾式蝕刻製程及任何其他適宜製程,該等適宜製程例如包括沉積、預清洗、脫氣及定向。若對於由系統200所執行之特定製程不需要,則可從系統200中移除基板處理腔室212、215、216、100、232、234、236、238中的任何者。
第3A圖至第3C圖圖示鈍化保護結構之不同製造階 段中的基板300之橫截面視圖,該鈍化保護結構被安置在形成互連結構302的基板300上。基板300可具有實質平坦表面、不均勻表面或其上形成有結構的實質平坦表面。第3A圖所示之基板300包括互連結構302,諸如雙鑲嵌結構、接觸互 連結構等,該互連結構形成於基板300上。在一個實施例中,基板300可為一材料,該材料諸如晶態矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜多晶矽、摻雜或未摻雜矽晶圓及圖案化或未圖案化晶圓、絕緣體上矽(silicon on insulator;SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石。基板300可具有各種尺寸,諸如200mm、300mm或450mm直徑之晶圓,以及矩形或正方形面板。除非另作說明,否則在具有300mm直徑或450mm直徑的基板上實施本文所描述之實施例及實例。
在一個實施例中,互連結構302係接觸金屬或後端半導體製程中所用之互連結構。互連結構302包括介電塊狀絕緣層304,該介電塊狀絕緣層具有至少一個金屬層308(諸如銅線),該金屬層安置於介電塊狀絕緣層304中,且被介電塊狀絕緣層304中形成的阻障層306橫向界定。在一個實施例中,介電塊狀絕緣層304係具有小於4.0之介電常數的介電材料(例如,低介電常數材料)。適宜材料之實例包括含碳的氧化矽(SiOC),諸如可購自應用材料公司之BLACK DIAMOND®介電材料,及其他低介電常數聚合物,諸如聚醯胺。在第3A圖至第3C圖描述的實施例中,介電塊狀絕緣層304為含碳的氧化矽(SiOC)層。
阻障層306經形成以防止金屬自導電金屬層308擴散至附近周圍的介電塊狀絕緣層304。因此,選擇阻障層306具有良好阻障特性以在後續熱循環及製程期間阻擋離子擴散穿過該層。在一個實施例中,阻障層306由含金屬層製成, 該金屬諸如TaN、TiN、TaON、TiON、Ti、Ta、含Co材料、含Ru材料、含Mn材料等。在本文所描述之實施例中,阻障層306係TaN層。
在介電塊狀絕緣層304中形成的金屬層308係導電 材料,諸如銅、鋁、鎢、鈷、鎳或其他適宜材料。在第3A圖至第3C圖中描述之實施例中,金屬層308係銅層。
在具有平坦表面的介電塊狀絕緣層304中形成金屬 層308之後(例如,通常在化學機械研磨(chemical mechanical polishing;CMP)製程之後),可在金屬層308之已曝露上表面310上形成金屬蓋層312。金屬蓋層312可密封已曝露之上表面310,以減小一旦金屬層308曝露後被氧化或污染的可能性。選擇金屬蓋層312由具有相對良好抗氧化性(亦即,與金屬層308之材料相比)及良好金屬導電率的材料製成,以使接觸電阻率維持在所需範圍內。在一個實施例中,金屬蓋層312可為含鈷材料、含鎢材料、含鎳材料、含鋁材料、含釕材料或含錳材料。在一個實施例中,金屬蓋層312為含鈷層。應注意,金屬蓋層312可僅選擇性形成於金屬層308之已曝露之上表面310上。或者,可在基板300之整個表面中形成金屬蓋層312,包括在金屬層308及介電塊狀絕緣層304上方。
在金屬層308上形成金屬蓋層312後,接著在金屬 蓋層312上形成阻障層314。阻障層314可幫助覆蓋金屬蓋層312與金屬層308兩者,並防止該兩個層氧化,此舉可不利地劣化裝置之電氣特性。在一個實施例中,基板300上形成之 阻障層314可為金屬介電層,該金屬介電層可提供良好的防潮性以及所需電氣特性。阻障層314之適宜實例包括含金屬層(諸如包括AlN、AlO3、AlON等的含鋁材料)、金屬氮化物層或金屬氧化物層。在一個實施例中,阻障層314係具有約10埃與約100埃之間的厚度的AlN層。阻障層314亦可充當形成於其上的另一組互連結構之基底層。
應注意,金屬蓋層312與阻障層314兩者可在不破壞真空且沒有大氣曝露的情況下形成於一個PVD腔室中,諸如第1圖中所描述之腔室100。或者,可在一或更多個不同處理腔室中形成金屬蓋層312及阻障層314,所有該等腔室經整合及併入單個真空處理系統(諸如群集系統)中,例如第2圖所描述之多腔室處理系統200,以便連續形成金屬蓋層312及阻障層314而不破壞真空且不將基板300曝露於周圍大氣中。亦可在相同或不同處理平臺或系統中的任何處理腔室中形成金屬蓋層312及阻障層314。
在基板300上形成阻障層314之後,接著執行處理製程以處理阻障層314,從而在阻障層314上形成處理層318。執行處理製程處理阻障層314之表面316,以改變表面特性及轉換阻障層314之上部分來在阻障層314上形成處理層318。可在諸如第1圖所描述之處理腔室100的處理腔室中執行處理製程,在該處理腔室中形成金屬蓋層312及阻障層314。或者,可在處理腔室中執行處理製程,其中稍後視需要在處理層318上形成介電蓋層320。
在一個實施例中,可藉由使用處理氣體混合物在處 理環境中形成電漿來電漿處理阻障層314之表面316而執行處理製程。處理製程將所需原子(諸如氮原子)合併至阻障層314之上部分中,緻密化阻障層314之表面316,形成緻密化處理層318,從而有效率地增加阻障層314之防潮性及減小曝露於空氣中時氧化的可能性。
在一個實施例中,處理氣體混合物包括含氫氣體、 含氮氣體或惰性氣體中之至少一者。在一個實例中,利用含氮氣體處理阻障層314。含氮氣體之適宜實例包括N2、N2O、NO2、NH3等。與處理氣體混合物一起供應的惰性氣體之適宜實例包括Ar、He、Kr等中的至少一者。供應至處理腔室100中的含氫氣體之適宜實例包括H2、H2O等中的至少一者。
在一示例性實施例中,處理氣體混合物中所供應之含氮氣體為N2氣,其中N2氣之流動速率介於約50sccm與約1000sccm之間。
在電漿處理製程期間,可調節若干製程參數以控制處理製程。在一個示例性實施例中,製程壓力經調節介於約10毫托至約5000毫托之間,諸如介於約400毫托與約2000毫托之間。可施加約13MHz之頻率的RF偏壓功率來維持處理氣體混合物中的電漿。舉例而言,可施加約100瓦特至約1000瓦特之RF偏壓功率來維持處理腔室100內部的電漿。可施加約13.56MHz之頻率的RF電源來維持處理氣體混合物中的電漿。將基板溫度維持在約25攝氏度至約400攝氏度之間,諸如在約25攝氏度與約250攝氏度之間。
在一個實施例中,取決於氣體之操作溫度、壓力及 流動速率,基板300經歷約5秒至約5分鐘之間的處理製程。舉例而言,可將基板曝露於預處理製程中長達約30秒至約90秒。在一示例性實施例中,將基板曝露於處理製程中長達約90秒或90秒以下。
在基板300上形成處理層318之後,接著在處理層318上形成介電蓋層320,如第3C圖所示。介電蓋層320可為具有低介電常數的介電層,該低介電常數諸如小於4.0的低介電常數(例如,低介電常數材料)。在一個實施例中,介電蓋層320可為含碳的氧化矽(SiOC),諸如可購自應用材料公司的BLACK DIAMOND®介電材料。或者,介電蓋層320可為任何適宜介電材料、聚合物材料,諸如聚醯胺、SOG等。在一個實施例中,介電蓋層320可為具有約10埃與約200埃之間的厚度的SiOC層。
第4圖圖示形成具有所需鈍化保護的互連結構402之另一實施例。類似地,基板300上可形成有阻障層314,如第3A圖所示。隨後,在阻障層314上形成介電起始層404,如第4圖所示。在此特定實施例中,利用介電起始層404以形成於阻障層314上,而不是利用在阻障層314上形成處理層318所執行之處理製程。類似於如第3C圖所示之介電蓋層320,可與介電蓋層406一起形成介電起始層404,可在不破壞真空或不曝露於空氣的情況下在單個製程腔室中將介電蓋層406隨後形成在介電起始層404上,以便最小化對阻障層314的氧化。
在一個實施例中,介電起始層404可選自一材料,該材料可幫助橋接阻障層314及介電蓋層406。介電起始層 404可幫助阻障層314與介電蓋層406之間的介面黏著,而無非所需裂紋或剝落。介電起始層404需要形成為薄層,以便維持良好互連完整性而不改變總體互連結構402之導電率、電阻率及電容。在一個實施例中,介電起始層404係無氧介電層,以便維持對阻障層314的良好接觸電阻率。
在一個實施例中,介電起始層404係含氮化矽層或 含碳化矽層。在一個實例中,介電起始層404係具有約5埃與約20埃之間(諸如約10埃)的厚度的氮化矽層或碳氮化矽(SiCN)層。可藉由CVD製程、ALD製程或PVD、CVD、ALD或其他適宜電漿處理腔室中的任何適宜沉積技術形成介電起始層404。
介電蓋層406類似於由介電層產生的介電蓋層 320,該介電層具有低介電常數,諸如小於4.0的低介電常數(例如,低介電常數材料)。在一個實施例中,介電蓋層406可為含碳的氧化矽(SiOC),諸如可購自應用材料公司的BLACK DIAMOND®介電材料。或者,介電蓋層406可為任何適宜介電材料、聚合物材料,諸如聚醯胺、SOG等。
第5圖圖示形成具有所需鈍化保護的互連結構502 之又一實施例。類似地,基板300上可形成有阻障層314,如第3A圖所示。隨後,可在阻障層314上接著形成無氧介電蓋層504。選擇無氧介電蓋層504以在對阻障層314接觸電阻率具有最小影響下形成於阻障層314上。吾人相信,在具有阻障層314(金屬介電層)的薄膜層中形成之過剩氧量可不可避免地且不理想地增加金屬介電層與無氧介電蓋層504之間的 接觸電阻率,從而不良地影響互連結構502之電氣特性。
在一個實施例中,無氧介電蓋層504係碳化矽(SiC) 材料或氮化矽(SiN)材料。在一個實施例中,無氧介電蓋層504係具有約5埃與約20埃(諸如約10埃)之間的厚度的SiC層。可藉由CVD製程、ALD製程或PVD、CVD、ALD或任何適宜電漿處理腔室中的任何適宜沉積技術形成無氧介電蓋層504。
第6A圖至第6B圖圖示形成具有所需鈍化保護的互連結構602之又一實施例。類似地,基板300上可形成有阻障層314,如第3A圖所示。在基板300上形成阻障層314後,接著執行氧化製程以在阻障層314上形成氧化層604,如第6A圖所示。執行氧化製程以將氧原子合併至阻障層314中來改變表面特性及轉換阻障層314之上部分,以形成含氧金屬介電層。在阻障層314係氮化鋁(AlN)層的實施例中,所執行之氧化製程可將阻障層314之上部分轉換成氧化層604,諸如氮氧化鋁(AlON)層。因此,阻障層314可變成具有較厚厚度及上部分的AlON層,氧化層604包含富氧的氮化鋁(AlN)層,諸如形成於阻障層314上的氮氧化鋁(AlON)層。
隨後,在阻障層314上形成氧化層604後,接著執行置換/修改製程以從阻障層314中置換併入氧化層604(例如,阻障層314之上部分)中的氧原子,以便提供空間來用另一元素代替合併至氧化層中。在一個實施例中,可在基板300上形成介電蓋層606,以將氧化層604置換/轉換成介電蓋層606,如第6B圖所示。當將介電蓋層606選擇為含氧層時, 來自氧化層604的氧原子傾向於與來自介電蓋層606的原子橋接,從而提供介電蓋層606與阻障層314之間的強介面黏接網路。吾人認為,為了形成犧牲氧化層604所執行之氧化製程可幫助驅動來自下層阻障層314的現有原子(鋁及/或氮化物原子)以更緊密堆積的方式向下移動,以便允許氧原子的更多間隙位置被合併至該阻障層,從而緻密化下層阻障層314之薄膜特性。一旦移除上氧化層604並將該層轉換成介電蓋層606的一部分,剩餘緻密且緊密堆積的阻障層314可提供良好介面鈍化特性至下層金屬層308及金屬蓋層312,而不使介面處的導電率降級。
在一個實施例中,可藉由將阻障層314曝露於含氧氣體中來形成氧化層,該含氧氣體選自CO、CO2、O2、NO2、NO、N2O、O3或H2O中的至少一者。可執行電漿製程以使用電漿將含氧氣體解離成氧離子、電荷及氧活性物種。來自氧離子、電荷或氧活性物種的氧原子可稍後接著與來自含矽與碳氣體的矽原子及/或碳原子反應,以形成所需介電蓋層606,諸如SiOC層。含矽與碳氣體的適宜實例包括TMS,或矽烷與氧化碳之組合。
在一個實施例中,隨後形成的介電蓋層606可為介電層,類似於上文所論述之介電蓋層504、406、320,該介電蓋層具有低介電常數,諸如小於4.0的低介電常數(例如,低介電常數材料)。在一個實施例中,介電蓋層606可為含碳的氧化矽(SiOC),諸如可購自應用材料公司之BLACK DIAMOND®介電材料。或者,介電蓋層606可為任何適宜介 電材料、聚合物材料,諸如聚醯胺、SOG等。在一個實施例中,介電蓋層606係具有約10埃與約200埃之間的厚度的SiOC層。
應注意,可部分或完全移除氧化層604或將該氧化層轉換成介電蓋層606的一部分。在另一實施例中,可存在保留於阻障層314上之厚度小於約10埃的氧化層604,在該阻障層上隨後形成介電蓋層606。
在一個實施例中,可在與形成介電蓋層606相同的腔室內形成氧化層604。或者,可在與形成阻障層314相同的腔室內形成氧化層604。另外,阻障層314、氧化層及介電蓋層606全部可在不同腔室中、在相同腔室中或視需要在任何適宜的布置中單獨地形成。
在一個實施例中,在形成介電蓋層606後,氧化層604具有約5埃與約30埃之間的厚度。可藉由CVD製程、ALD製程或PVD、CVD、ALD或任何適宜電漿處理腔室中的任何適宜沉積技術形成氧化層604。在一個實施例中,介電蓋層606具有約10埃與約200埃之間的厚度。可藉由CVD製程、ALD製程或PVD、CVD、ALD或任何適宜電漿處理腔室中的任何適宜沉積技術形成介電蓋層606。
應注意,可在一個處理腔室中原位沉積及完成互連結構302中的金屬蓋層312、阻障層314、處理層318及介電蓋層320,或視需要在多腔室處理系統之不同處理腔室中非原位沉積該等層。可在一個處理腔室中原位沉積及完成互連結構402中的金屬蓋層312、阻障層314、介電起始層404及介 電蓋層406,或視需要在多腔室處理系統之不同處理腔室中非原位沉積該等層。可在一個處理腔室中原位沉積及完成互連結構502中的金屬蓋層312、阻障層314及無氧介電蓋層504,或視需要在多腔室處理系統之不同處理腔室中非原位沉積該等層。可在一個處理腔室中原位沉積及完成互連結構602中的金屬蓋層312、阻障層314、氧化層604及介電蓋層606,或視需要在不同處理腔室中非原位沉積該等層。
因此,本發明提供形成互連結構中的金屬接線所用 之鈍化保護的方法及設備。金屬接線上所形成之阻障層可有效率地保護金屬接線避免曝露於大氣中,從而消除原生氧化物形成或污染的可能性,維持良好的介面控制。藉由利用金屬接線上所形成之適當鈍化保護,可在最少氧化物或污染產生之情況下控制金屬接線,從而增加製造彈性而不使裝置效能降級。
儘管上述內容係針對本發明之實施例,但是可在不脫離本發明基本範疇的情況下設計出本發明之其他及進一步實施例,並且藉由隨後申請專利範圍決定該等實施例之範疇。

Claims (20)

  1. 一種在半導體裝置之一互連結構中的一金屬接線上形成鈍化保護的方法,該方法包含以下步驟:在併入一多腔室處理系統的一處理腔室中,在一金屬接線上選擇性地形成一金屬蓋層,該金屬接線由形成在一基板上之一互連結構中的一介電塊狀絕緣層所界定;在該處理腔室中,在該基板上原位形成一阻障層,其中該阻障層係一金屬介電層;以及在該多腔室處理系統中,在該阻障層上形成一介電蓋層,其中該介電蓋層係具有小於4的一介電常數之一低介電常數材料。
  2. 如請求項1所述之方法,其中在併入該多腔室處理系統的另一處理腔室中形成該介電蓋層。
  3. 如請求項1所述之方法,進一步包含以下步驟:在形成該介電蓋層之前,處理該阻障層以在該阻障層上形成一處理層。
  4. 如請求項3所述之方法,其中處理該阻障層之步驟進一步包含以下步驟:利用一含氮氣體處理該阻障層。
  5. 如請求項1所述之方法,進一步包含以下步驟:在形成該介電蓋層之前,在該阻障層上形成一介電起始層。
  6. 如請求項5所述之方法,其中形成該介電起始層之步驟進一步包含以下步驟:形成一無氧介電層。
  7. 如請求項6所述之方法,其中該介電起始層係一氮化矽層或一碳化矽層。
  8. 如請求項1所述之方法,其中該介電蓋層係一無氧介電層。
  9. 如請求項8所述之方法,其中該無氧介電層係一碳化矽(SiC)層或一氮化矽(SiN)層。
  10. 如請求項1所述之方法,進一步包含以下步驟:在形成該介電蓋層之前,在該阻障層上形成一氧化層。
  11. 如請求項10所述之方法,其中形成一氧化層之步驟進一步包含以下步驟:藉由利用一含氧氣體處理該阻障層來形成該氧化層。
  12. 如請求項11所述之方法,其中該含氧氣體包括CO、CO2、O2、NO2、NO、N2O、O3及H2O中的至少一者。
  13. 如請求項10所述之方法,進一步包含以下步驟:在形成該介電蓋層的同時將該氧化層轉換成該介電蓋層的一部分。
  14. 如請求項13所述之方法,其中轉換該氧化層之步驟進一步包含以下步驟:使來自該氧化層的氧原子與來自用於形成該介電蓋層的一氣體混合物的原子反應。
  15. 如請求項14所述之方法,其中原子係自該氣體混合物中供應的一含矽與碳的氣體所供應之矽原子及碳原子。
  16. 如請求項13所述之方法,其中該介電蓋層係一SiOC層。
  17. 如請求項1所述之方法,其中該阻障層由AlN、AlO3或AlON中之至少一者製成。
  18. 如請求項1所述之方法,其中該介電蓋層係一低介電常數材料,該材料具有小於4的一介電常數。
  19. 如請求項1所述之方法,其中該金屬蓋層為含鈷材料、含鎢材料、含鎳材料、含鋁材料、含釕材料或含錳材料中的至少一者。
  20. 一種在用於半導體裝置之一互連結構中的一金屬接線上形成鈍化保護的方法,該方法包含以下步驟:在一PVD處理腔室中,在一金屬接線上選擇性地形成一金屬蓋層,該金屬接線由形成在一基板上之一互連結構中的一介電塊狀絕緣層所界定;在該PVD處理腔室中,在該基板上原位形成一阻障層,其中該阻障層係一金屬介電層;以及在該阻障層上形成一介電蓋層,其中該介電蓋層係一SiOC層。
TW104103600A 2014-03-07 2015-02-03 形成互連結構所用之鈍化保護的方法 TWI655735B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/201,728 US9299605B2 (en) 2014-03-07 2014-03-07 Methods for forming passivation protection for an interconnection structure
US14/201,728 2014-03-07

Publications (2)

Publication Number Publication Date
TW201535658A TW201535658A (zh) 2015-09-16
TWI655735B true TWI655735B (zh) 2019-04-01

Family

ID=54018085

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104103600A TWI655735B (zh) 2014-03-07 2015-02-03 形成互連結構所用之鈍化保護的方法

Country Status (5)

Country Link
US (1) US9299605B2 (zh)
KR (1) KR102305536B1 (zh)
CN (1) CN106463456B (zh)
TW (1) TWI655735B (zh)
WO (1) WO2015134118A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730990B (zh) 2015-10-04 2021-06-21 美商應用材料股份有限公司 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
US9984870B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
US20180047692A1 (en) 2016-08-10 2018-02-15 Amkor Technology, Inc. Method and System for Packing Optimization of Semiconductor Devices
US10096769B2 (en) 2017-03-10 2018-10-09 International Business Machines Corporation Bottom electrode for MRAM applications
CN109346436A (zh) * 2018-09-20 2019-02-15 德淮半导体有限公司 制造半导体装置的方法
CN113767187A (zh) * 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法
KR102657866B1 (ko) * 2019-06-10 2024-04-17 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6613682B1 (en) 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
JP2002110644A (ja) 2000-09-28 2002-04-12 Nec Corp エッチング方法
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US7276441B1 (en) 2003-04-15 2007-10-02 Lsi Logic Corporation Dielectric barrier layer for increasing electromigration lifetimes in copper interconnect structures
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
KR100703973B1 (ko) * 2005-07-20 2007-04-06 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US7563704B2 (en) * 2005-09-19 2009-07-21 International Business Machines Corporation Method of forming an interconnect including a dielectric cap having a tensile stress
US7745282B2 (en) * 2007-02-16 2010-06-29 International Business Machines Corporation Interconnect structure with bi-layer metal cap
DE102007046846A1 (de) 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
US8320178B2 (en) * 2009-07-02 2012-11-27 Actel Corporation Push-pull programmable logic device cell
US8912658B2 (en) * 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper

Also Published As

Publication number Publication date
US9299605B2 (en) 2016-03-29
WO2015134118A1 (en) 2015-09-11
CN106463456A (zh) 2017-02-22
CN106463456B (zh) 2019-07-16
KR20160130840A (ko) 2016-11-14
KR102305536B1 (ko) 2021-09-24
TW201535658A (zh) 2015-09-16
US20150255329A1 (en) 2015-09-10

Similar Documents

Publication Publication Date Title
TWI655735B (zh) 形成互連結構所用之鈍化保護的方法
US9748105B2 (en) Tungsten deposition with tungsten hexafluoride (WF6) etchback
JP6620112B2 (ja) 処理システムを使用した空隙構造の組込
EP2831907B1 (en) Method of enabling seamless cobalt gap-fill
US9379210B2 (en) Sacrificial pre-metal dielectric for self-aligned contact scheme
US6638810B2 (en) Tantalum nitride CVD deposition by tantalum oxide densification
JP4503356B2 (ja) 基板処理方法および半導体装置の製造方法
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
TW201546876A (zh) 在針對半導體應用之整合群集系統中形成互連結構的方法
US11404311B2 (en) Metallic interconnect structures with wrap around capping layers
KR101739613B1 (ko) Cu 배선의 형성 방법
KR102574313B1 (ko) 배리어 막 증착 및 처리
TW202205438A (zh) 介電材料的填充和處置方法
US9893144B1 (en) Methods for fabricating metal-insulator-metal capacitors
KR101767538B1 (ko) 진보된 배선들을 위한 유전체 캡핑 배리어로서의 금속-함유 필름들
WO2021081379A1 (en) Method of forming interconnect for semiconductor device
US11094588B2 (en) Interconnection structure of selective deposition process
CN113767187A (zh) 形成含金属材料的方法
US20160300731A1 (en) Methods of etchback profile tuning
JP2009117673A (ja) 半導体装置およびその製造方法
US20150114827A1 (en) Methods of forming a metal dielectric etching stop layer on a substrate with high etching selectivity