TW202243058A - 積體晶片 - Google Patents

積體晶片 Download PDF

Info

Publication number
TW202243058A
TW202243058A TW111107499A TW111107499A TW202243058A TW 202243058 A TW202243058 A TW 202243058A TW 111107499 A TW111107499 A TW 111107499A TW 111107499 A TW111107499 A TW 111107499A TW 202243058 A TW202243058 A TW 202243058A
Authority
TW
Taiwan
Prior art keywords
interconnection
interconnect
dielectric layer
wire
dielectric
Prior art date
Application number
TW111107499A
Other languages
English (en)
Inventor
姚欣潔
李忠儒
呂志偉
田希文
廖韋豪
戴羽騰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202243058A publication Critical patent/TW202243058A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在一些實施例中,本揭露係關於包括在基板上的第一互連介電層的積體晶片。互連導線延伸穿過第一互連介電層,且導線結構上介電質直接設置於互連導線上。導線結構上介電質的外壁被第一互連介電層圍繞。積體晶片更包括第二互連介電層,設置於第一互連介電層上,以及互連導,孔延伸穿過第二互連介電層及導線結構上介電質,以接觸互連導線。

Description

積體晶片
本發明實施例係關於積體晶片及其形成方法,且特別關於積體晶片中的互連結構。
隨著半導體積體電路(integrated circuits, ICs)尺寸和部件尺寸的縮小,構成IC的元件的密度增加並且元件之間的間距減小。 這種間距減小受到微影的光繞射、遮罩對準、隔離和裝置性能等因素的限制。隨著任兩個相鄰導電部件之間的距離減小,產生的電容增加,這將增加功耗和時間延遲。 因此,人們正在研究製造技術和裝置設計,以在減小IC尺寸的同時保持或提高IC的性能。
本發明實施例提供了一種積體晶片,包括:第一互連介電層,設置於基板上方;互連導線,延伸穿過第一互連介電層;導線結構上介電質,設置於互連導線的正上方且具有被第一互連介電層圍繞的外壁;第二互連介電層,設置於第一互連介電層上方;以及互連導孔,延伸穿過第二互連介電層及導線結構上介電質,以接觸互連導線。
本發明實施例提供了一種積體晶片,包括:第一互連介電層,設置於基板上;第一互連導線,設置於基板上且第二互連導線,設置於基板上,被第一互連介電層橫向圍繞,且通過第一互連介電層與第一互連導線間隔開;第一導線結構上介電質及第二導線結構上介電質,分別設置於第一互連導線及第二互連導線正上方,其中第一導線結構上介電質通過第一互連介電層與第二導線結構上介電質間隔開;第二互連介電層,設置於第一互連介電層上;以及互連導孔,延伸穿過第二互連介電層以及第一導線結構上介電質,以直接接觸第一互連導線。
本發明實施例提供了一種積體晶片的形成方法,包括:於基板上形成第一互連介電層;於第一互連介電層內形成互連導線並延伸穿過第一互連介電層;執行第一移除製程,以移除互連導線的上部,使互連導線的上表面設置於第一互連介電層的上表面下方;於互連導線正上方形成導線結構上介電質;於第一互連介電層上方形成第二互連介電層;執行第二移除製程,以形成延伸穿過導線結構上介電質及第二互連介電層的空腔,以露出互連導線的上表面;以及於空腔中形成導電材料,以形成耦合到該互連導線的互連導孔。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件上方,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值及/或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……下方」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
積體晶片可以包括多個配置在半導體基板上方及/或之內的半導體裝置(例如,電晶體、電感器、電容器等)及/或記憶體裝置。互連結構可以設置在半導體基板上方並且耦合至半導體裝置。互連結構可以包括在互連介電結構內具有互連導線和互連導孔的導電互連層。互連導線及/或互連導孔提供配置於半導體基板之內及/或上方的不同半導體裝置之間的電通路。
互連結構的一些實施例包括耦合到方的半導體裝置的第一互連導線,且互連導孔位耦合至並於在第一互連導線中的一者上方。在製造過程中,可以形成嵌入在第一互連介電層內的第一互連導線。然後,可以在第一互連介電層和第一互連導線上方沉積第二互連介電層。可以使用微影和移除製程在第二互連介電層內形成空腔,以露出第一互連導線中一者的頂面。接著,可以在空腔內形成導電材料,以形成耦合到第一互連導線中的一者的互連導孔。
然而,隨著積體晶片的尺寸減小,第一互連導線以及第一互連導線之間的間隙也隨之減小,並且由於製程限制,形成直接在第一互連導線中的一者上方置中的空腔變得更加困難。此類製程限制的一些示例包括重置(overlay)直接以第一互連導線中的一者為中心的用於微影的遮罩結構的精度/準確度及/或在與互連導線中的一者相對應的遮罩結構中實現用於形成空腔的足夠小的開口。在某些情況下,如果空腔在不第一互連導線中的一者的上方置中,則空腔可能在第一互連介電層上方部分形成。在此類實施例中,用於形成空腔的移除製程可移除第一互連介電層的一部分。在此類實施例中,最終結構中的互連導孔的一部分可能會直接位於相鄰的第一互連導線之間,這可能會增加電容及/或減少第一互連介電層在相鄰的第一互連導線之間的擊穿時間,從而降低整體積體晶片的可靠性。
本揭露的各種實施例關於設置在互連結構中的第一互連導線的頂面上的導線結構上介電質的形成。導線結構上介電質具有被第一互連介電層圍繞的外壁且包括與第一互連介電層不同的材料。在形成導線結構上介電質之後,可以在第一互連介電層上方形成第二互連介電層。然後,可以執行微影和移除製程以形成空腔,延伸穿過第二互連介電層和導線結構上介電質中的一者,以露出第一互連導線中的一者的上表面。在一些實施例中,可以使用蝕刻劑來移除導線結構上介電質中的一者的部分。在一些實施例中,第一互連介電層可以對蝕刻劑的移除大抵上具有抗性。
因此,在一些實施例中,就算由於製程的限制,空腔直接在第一互連介電層的一部分上形成,第一互連介電層與導線結構上介電質之間的蝕刻選擇性防止空腔延伸進入第一互連介電層中。因此,形成在空腔內的所得互連導孔不直接位於相鄰的第一互連導線之間。因此,在保持相鄰第一互連導線之間的隔離的同時,增加了形成互連導孔的製程窗口,從而減少串擾(cross-talk)且增加整體積體晶片的可靠性。
第1A圖係根據一些實施列,繪示出具有延伸穿過導線結構上介電質以接觸第一互連導線的互連導孔的積體晶片的剖面圖。
第1A圖的積體晶片包括位於基板102上的互連結構104。在一些實施例中,互連結構104包括下層互連導孔106、耦合到並設置在下層互連導孔106上方的第一互連導線112、耦合到並設置在第一互連導線112中一者上方的互連導孔122、以及耦合到並設置於互連導孔122上方的第二互連導線124。在一些實施例中,互連結構 104可更包括圍繞下層互連導孔106的下層互連介電層108、圍繞第一互連導線112的第一互連介電層114、以及圍繞互連導孔122及/或第二互連導線124的第二互連介電層120。在一些實施例中,第一蝕刻停止層110可設置於下層互連介電層108上方,且位於下層互連介電層108與第一互連介電層114之間。在一些實施例中,第二蝕刻停止層118可設置於第一互連介電層114上方,且設置於第一互連介電層114與第二互連介電層120之間。
此外,根據一些實施例,互連結構104可耦合至配置於基板102之上及/或之內的一個或多個半導體裝置(例如電晶體、電感器、電容器等)及/或記憶體裝置(未示出)。因此,互連結構104的導電部件(例如下層互連導孔106、第一互連導線112、互連導孔122、第二互連導線124)可彼此電耦合且與任何下方或上方的裝置(未示出)電耦合,以為通過積體晶片的信號(例如,電壓、電流)提供導電通路。
在一些實施例中,各個第一互連導線112的寬度等於例如在大約5奈米和大約1000奈米之間的範圍內的第一距離d 1。此外,在一些實施例中,第一互連導線112可以與相鄰的第一互連導線112間隔開第二距離d 2。在一些實施例中,第二距離d 2可以在例如大約5奈米和大約1000奈米之間的範圍內。在一些實施例中,第一互連導線112嵌入第一互連介電層114內,使第一互連導線112通過第一互連介電層114彼此間隔開。在一些實施例中,第一互連介電層114包括低介電常數介電材料,例如碳化矽、二氧化矽、碳化氧矽、氮化矽、氮化碳矽、氮氧化矽、碳氧氮化矽、或一些其他合適的介電材料。第一互連介電層114的低介電常數介電材料及/或橫向設置在第一互連導線112之間的任何其他隔離結構(例如,其他介電層、空氣間隔結構等)降低電容並防止相鄰的第一互連導線112之間的串擾。
在一些實施例中,導線結構上介電質116設置於各個第一互連導線112上方。在一些實施例中,導線結構上介電質116也可具有等於第一距離d 1的寬度,且導線上結構介電質116可具有完全和直接疊置(overlie)第一互連導線112的頂面的底面。在一些實施例中,導線結構上介電質116被第一互連介電層 114 橫向圍繞。在一些實施例中,導線結構上介電質116具有與第一互連介電層114的最頂面114t大抵共面的最頂面116t。在一些實施例中,導線結構上介電質116包括例如氧化鉿、氧化鈮鋰、氧化鋰氮、氧化鎂、氧化錳、氧化鉬、氧化鈮、氧化氮、氧化矽、碳氧化矽、碳氧化氮矽、氮氧化矽、碳化矽、氧化錫、氧化錫矽、氧化鍶、氧化鉭、氮氧化鉭、氧化鈦、氧氮化鈦、氧化鎢、氧化鋅、氧化鋯或一些其他合適的介電材料或金屬氧化物。
在一些實施例中,互連導孔122從第二互連導線124延伸,穿過第二互連介電層120及導線結構上介電質116,以直接接觸第一互連導線112。在一些實施例中,由於第一互連導線112的第一距離d 1及第一互連導線112之間的距離d 2過小(例如大約5奈米和大約1000奈米之間),由於加工限制,形成直接落在第一互連導線112上的互連導孔122更加困難。例如,在一些實施例中,在互連導孔122的形成期間,可以在第二互連介電層120上方形成包括開口的遮罩結構。在一些實施例中,由於遮罩結構形成期間的製程(例如,微影)限制,開口可能直接疊置第一互連導線112以及第一互連介電層114的一部分。之後,在一些實施例中,可以使用蝕刻劑移除直接設置在遮罩結構開口下方的導線結構上介電質116的部分,以形成露出第一互連導線112的空腔。在一些實施例中,第一互連介電層114包括與導線結構上介電質116不同的材料,且第一互連介電層114對用於移除導線結構上介電質116的蝕刻劑的移除大抵上具有抗性。在此類實施例中,形成在空腔內的互連導孔122可具有水平面122s,直接接觸並在第一互連介電層114的最頂面114t上方延伸。
因此,在一些實施例中,即使用於形成互連導孔122的遮罩結構的開口的一部分直接設置在第一互連介電層114上,在形成互連導孔122的過程中也可以不移除第一互連介電層114。因此,互連導孔122不會延伸到第一互連導線112的上表面下方且不會直接設置在相鄰的第一互連導線112之間。因此,至少由於導線結構上介電質116,由第一互連介電層114提供的相鄰的第一互連導線112之間的隔離可以在互連導孔122的形成期間保持,從而減少相鄰的第一互連導線112之間的串擾並保持及/或增加裝置的可靠性。
第1B圖係根據一些實施列,繪示出對應於第1A圖的俯視圖的俯視圖100B。
在一些實施例中,從俯視圖100B來看,第一互連導線112設置在第二互連介電層120下方,因此,使用鏈線示出第一互連導線112。類似地,在一些實施例中,從俯視圖100B來看,互連導孔122設置在第二互連導線124下方,因此,互連導孔122使用虛線示出。在一些實施例中,第一互連導線112沿第一方向130延伸,且第二互連導線124沿第二方向132延伸。在一些實施例中,第一方向130不同於第二方向132,且第一方向130垂直於第二方向132。在一些實施例中,導線結構上介電質 (第1A圖的116)至少在第二方向132上增加互連導孔122的製程窗口。
在一些實施例中,互連導孔122將第一互連導線112中的一者耦合到第二互連導線124。在一些實施例中,應當理解的是,從俯視圖100B來看,雖然互連導孔122直接疊置第一互連導線112,互連導孔122不直接設置在第二方向132上的相鄰第一互連導線112之間。在一些實施例中,從俯視圖100B來看,互連導孔122可以具有圓形輪廓。在其他實施例中,從俯視圖100B來看,互連導孔122可以呈矩形、橢圓形或一些其他形狀的輪廓。此外,在一些實施例中,附加的第二互連導線(未示出)設置在第二互連介電層120中且附加互連導孔(未示出)將附加的第二互連導線耦合到第一互連導線112。
第2圖係根據另一些實施列,繪示出具有延伸穿過導線結構上介電質以接觸第一互連導線的互連導孔的積體晶片的剖面圖200,其中互連導孔大抵在第一互連導線上方置中。
在一些實施例中,直接設置在互連導孔122下方的第一互連導線112的中心設置在第一線202上。在此類實施例中,第一線202垂直於基板102的頂面並且還與第一互連導線112的中心相交。在一些實施例中,第一互連導線112的中心被確定為第一互連導線112的最頂面的寬度的中點。在一些實施例中,互連導孔122的中心類似地為確定為互連導孔122與第二互連導線124之間的界面204的中點。在一些實施例中,如第2圖的剖面圖200所示,第一線202也與互連導孔122的中心相交。在此類實施例中,互連導孔122和下方的第一互連導線112可以被分類為彼此「對準(aligned)」或「置中」。此類實施例,其中互連導孔122與第一互連導線112對準,互連導孔122與第一互連導線112之間的接觸面積增加。在此類實施例中,互連導孔122的整個下表面直接接觸第一互連導線112。
然而,在一些實施例中,其中第一互連導線112的寬度過小(例如,在約5奈米和約1000奈米之間),由於製程限制(例如,微影精度、蝕刻精度等),互連導孔122和下方的第一互連導線112之間的對準是罕見的。因此,在互連導孔122和下方的第一互連導線112未對準的情況下(例如, 第1A圖和第3圖),導線結構上介電質116仍然包括在第一互連導線112上。
此外,應當理解的是,在一些其他實施例中,即使互連導孔122在下方的第一互連導線112上方置中,由於製程限制,互連導孔122可能比下方的第一互連導線112更寬。在此類實施例中,所得的互連導孔122仍可能具有直接疊置且接觸第一互連介電層114的最頂面114t的部分。
此外,在一些實施例中,導線結構上介電質116具有等於第三距離d 3的高度。在一些實施例中,第三距離d 3在例如大約10埃和大約1000埃之間的範圍內。在一些實施例中,第二蝕刻停止層118包括與第一互連介電層114不同的材料。類似地,在一些實施例中,第二蝕刻停止層118包括與導線結構上介電質116不同的材料。在一些實施例中,第二蝕刻停止層118包括例如碳化矽、二氧化矽、碳化氧矽、氮化矽、氮化碳矽、氮氧化矽、碳氮氧化矽、氧氮化鋁、氧化鋁或一些其他合適的材料。 在一些實施例中,第二蝕刻停止層118的厚度在例如大約10埃和大約1000埃之間的範圍內。
在一些實施例中,下層互連導孔106、第一互連導線112、互連導孔122和第二互連導線124可各自包括導電材料,例如鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的導電材料。在一些實施例中,下層互連導孔106、第一互連導線112、互連導孔122和第二互連導線124可以各自包括相同的材料,可以各自包括不同的材料,或者可以包括相似和不同材料的組合。在一些實施例中,至少互連導孔122和第二互連導線124包括相同的材料,因為它們是通過雙鑲嵌製程形成的。在一些實施例中,下層互連導孔106、第一互連導線112、互連導孔122和第二互連導線124可以各自具有在例如大約10埃和大約1000埃之間的範圍內的高度。
第3圖係根據一些實施列,繪示剖面圖300,其中包括導線結構上介電質的互連結構耦合至下方的半導體裝置。
在一些實施例中,可以省略第二蝕刻停止層(第2圖的118)。在此類實施例中,第二互連介電層120可以包括與第一互連介電層114不同的材料。此外,在一些實施例中,互連導孔122在下方的第一互連導線112上方「未對準」或「未置中(not centered)」。在此類實施例中,垂直於基板板102的頂面的第二線310與互連導孔122的中心相交,且第二線310平行於與第一互連導線112的中心相交的第一線202。在此類實施例中,當第一線202與第二線310平行且不相交時,互連導孔122與下方的第一互連導線112未對準。在此類實施例中,如圖根據1A的剖面圖100A所描述,導線結構上介電質116有助於在互連導孔122的形成期間保護第一互連介電層114,並且因此,互連導孔122不會延伸到第一互連導線112的上表面下方。
此外,在一些實施例中,下層互連導孔106耦合到下方的半導體裝置302。在一些實施例中,下方的半導體裝置302可以包括例如場效電晶體(field effect transistor, FET)。在此類實施例中,半導體裝置302可以包括設置在基板102上方或之內的源極/汲極區304。源極/汲極區304可以包括基板102的摻雜部分。此外,在一些實施例中,半導體裝置302可以包括閘電極306,設置在基板102上方和源極/汲極區304之間。在一些實施例中,閘極介電層308可以直接設置在閘電極306和基板102之間。在一些實施例中,下層互連導孔106耦合到源極/汲極區304中的一者,而在其他實施例中,下層互連導孔106可以耦合到半導體裝置302的閘電極306。更在一些實施例中,應當理解的是,互連結構104可以將半導體裝置302耦合到一些其他半導體裝置、記憶體裝置、照相裝置或一些其他電子裝置。應當理解的是,除了圖示為半導體裝置302的FET之外的其他電子/半導體裝置也在本揭露的範圍內。
第4-11、12A、12B、13A、13B、14A~14C、15-17圖係根據一些實施列,繪示出利用第一互連導線上的導線結構上介電質,於第一互連導線上形成互連導孔,以增加形成互連導孔的製程窗口的方法的各種視圖400~700。雖然第4-11、12A、12B、13A、13B、14A~14C、15-17圖是關於一種方法的描述,但是應該理解,第4-11、12A、12B、13A、13B、14A~14C、15-17圖中揭示的結構可以不限於這種方法,而是可以作為獨立於該方法的結構而獨立存在。
如第4圖的剖面圖400所示,提供了基板102。在一些實施例中,基板102可以是或包括任何類型的半導體主體(例如,矽/CMOS塊體、SiGe、SOI等),例如半導體晶圓或晶圓上的一個或多個晶粒,以及形成在其上及/或與之相關聯的任何其他類型的半導體及/或外延層。在一些實施例中,下互連介電層108形成在基板102上方。在一些實施例中,各種半導體裝置(例如,電晶體、電感器、電容器等)及/或記憶體裝置(未示出)可以設置在基板102之上及/或之內且位於下層互連介電層108下方。在一些實施例中,下層互連導孔106可以形成在下層互連介電層108內並且耦合到各種半導體裝置及/或記憶體裝置(未示出)中的一者或多者。
在一些實施例中,下層互連介電層108可以通過沉積製程(例如,旋塗、物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積(chemical vapor deposition, CVD)、原子層沉積(atomic layer deposition, ALD)等)而形成。在一些實施例中,下層互連介電層108可以具有在例如大約30埃和大約800埃之間的範圍內的厚度。在一些實施例中,下層互連介電層108可以包括例如低介電常數介電材料,例如碳化矽、二氧化矽、碳化氧矽、氮化矽、碳氮化矽、氮氧化矽、碳氧氮化矽、或 一些其他合適的介電材料。
在一些實施例中,可以通過的圖案化(例如,微影/蝕刻)、沉積(例如,PVD、CVD、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition, PE-CVD)、ALD、濺射等)和移除(例如濕式蝕刻、乾式蝕刻、化學機械平坦化(chemical mechanical planarization, CMP)等)製程的各種步驟在下層互連介電層108中形成下層互連導孔106。在一些實施例中,下層互連導孔106可以包括例如鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的導電材料的導電材料。此外,在一些實施例中,下層互連導孔106的高度可以在例如大約10埃和大約1000埃之間的範圍內。
在一些實施例中,第一蝕刻停止層110形成在下層互連導孔106上方和下層互連介電層108上方。在一些實施例中,第一蝕刻停止層110通過沉積製程(例如PVD、CVD、ALD、旋塗等),且可以在設置為例如大約150°C和大約400°C之間的範圍內的溫度的腔室中形成。在一些實施例中,第一蝕刻停止層110可以形成為具有在例如大約10埃和大約1000埃之間的範圍內的厚度。在一些實施例中,第一蝕刻停止層110可以包括例如碳化矽、二氧化矽、碳化氧矽、氮化矽、碳氮化矽、氧氮化矽、氧碳氮化矽、氧氮化鋁、氧化鋁或一些其他合適的材料。
如第5圖的剖面圖500所示,在一些實施例中,嵌入第一互連介電層114中的第一互連導線112形成在第一蝕刻停止層110上方。在一些實施例中,第一互連介電層114可以先形成在第一蝕刻停止層110上方,然後第一互連介電層114可以經過圖案化(例如,微影/蝕刻)、沉積(例如,PVD、CVD、PE-CVD、ALD、濺射等)和移除(例如,濕式蝕刻、乾式蝕刻、CMP等)製程的各種步驟,以在第一互連介電層114內形成第一互連導線112。在其他實施例中,第一互連導線112可以先通過圖案化(例如,微影/蝕刻)、沉積(例如,PVD、CVD、PE-CVD、ALD、濺射等)和移除(例如,濕式蝕刻、乾式蝕刻、CMP等)製程的各種步驟,然後第一互連介電層114可以形成在第一互連導線112周圍。
然而,在一些實施例中,第一互連介電層114通過沉積製程(例如,旋塗、PVD、CVD、ALD等),在設定為大約400°C到大約500°C之間的範圍內的溫度的腔室中形成。在一些實施例中,第一互連介電層114可以形成為介於例如大約30埃和大約800埃之間的範圍內的厚度。在其他實施例中,第一互連介電層114的厚度可介於例如約20埃至約2000埃之間的範圍內。在一些實施例中,第一互連介電層114可以包括低介電常數介電材料,例如碳化矽、二氧化矽、碳化氧矽、氮化矽、碳氮化矽、氮氧化矽、碳氧氮化矽或一些其他合適的介電材料。
此外,在一些實施例中,第一互連導線112可以通過沉積製程(例如,旋塗、PVD、CVD、ALD等)在設置為大約150°C和大約400°C之間的範圍內的溫度的腔室中形成。在一些實施例中,在第一互連導線112剛剛形成之後,第一互連導線112的高度可以等於第一互連介電層114的高度。因此,在一些實施例中,在第一互連導線112剛剛形成之後,第一互連導線112的高度在大約20埃和大約2000埃之間的範圍內。此外,在一些實施例中,各個第一互連導線112的寬度等於在例如大約5奈米和大約1000奈米之間的範圍內的第一距離d 1。在一些實施例中,第一互連導線112可以彼此間隔開在例如大約5奈米和大約1000奈米之間的範圍內的第二距離d 2。在一些實施例中,第一互連導線112可以包括導電材料,例如鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的導電材料。
在一些實施例中,第一互連導線112中的一者或多者延伸穿過第一蝕刻停止層110以直接接觸一個或多個下層互連導孔106。因此,在一些實施例中,第一互連導線112的形成還包括移除第一蝕刻停止層110的部分。應當理解的是,多於或少於4個第一互連導線112可以存在於第一互連介電層114中。
如第6圖的剖面圖600所示,在一些實施例中,可以執行回蝕移除製程602以移除第一互連導線112的上部。在一些實施例中,回蝕移除製程602通過例如電感應偶合電漿、電容耦合電漿、遠程電漿、等向性化學蝕刻、濕式蝕刻或一些其他合適的乾式或濕式蝕刻製程來實現。在一些實施例中,第一互連介電層114對回蝕移除製程602的移除大抵上具有抗性。因此,在一些實施例中,不需要從回蝕移除製程602保護第一互連介電層114的遮罩結構,從而提高了製造效率。
在一些實施例中,在回蝕移除製程602之後,第一互連導線112的最頂面112t設置在第一互連介電層114的最頂面114t下方的第三距離d 3。在一些實施例中,第三距離d 3可以在例如大約10埃和大約1000埃之間的範圍內。因此,在一些實施例中,在回蝕移除製程602之後,第一互連導線112具有在大約10埃和大約1000埃之間的範圍內的高度。
如第7圖的剖面圖700所示,在一些實施例中,於第一互連導線112上方形成導線結構上介電質116。在一些實施例中,導線結構上介電質116包括例如氧化鉿、氧化鈮鋰、氮氧化鋰、氧化鎂、氧化錳、氧化鉬、氧化鈮、氧化氮、氧化矽、碳氧化矽、碳氧氮化矽、氮氧化矽、碳化矽、氧化錫、氧化矽錫、氧化鍶、氧化鉭、氮氧化鉭、氧化鈦、氮氧化鈦、氧化鎢、氧化鋅、氧化鋯或一些其他合適的介電材料或金屬氧化物。導線結構上介電質116包括與第一互連介電層114不同的材料。在一些實施例中,導線結構上介電質116可以通過沉積製程(例如,PVD、CVD、ALD、旋塗、等)在設定為例如約150°C至約400°C之間的範圍內的溫度的腔室中形成。在一些實施例中,導線結構上介電質116包括可以選擇性沉積在第一互連導線112的最頂面112t上而不是第一互連介電層114上的材料。在一些實施例中,移除製程,例如,舉例來說,執行平坦化製程(例如,CMP)以移除設置在第一互連介電層114的最頂面114t上方的導線結構上介電質116的任何多餘材料。因此,在一些實施例中,導線結構上介電質116具有與第一互連介電層114的最頂面114t大抵共面的最頂面116t。在一些實施例中,省略了此類移除及/或平坦化製程。在一些實施例中,導線結構上介電質116具有等於第三距離d 3的高度。
如第8圖的剖面圖800所示,在一些實施例中,第二互連介電層120形成在第一互連介電層114和導線結構上介電質116上方。在一些實施例中,第二互連介電層120通過沉積製程(例如,PVD、CVD、ALD、濺射等)形成。在一些實施例中,第二互連介電層120包括介電材料,例如碳化矽、二氧化矽、碳化氧矽、氮化矽、碳氮化矽、氮氧化矽、碳氧氮化矽或一些其他合適的介電材的材料。在一些實施例中,第二互連介電層120包括與第一互連介電層114不同的材料。在其他實施例中,第二互連介電層120包括與第一互連介電層114相同的材料。在此類其他實施例中,在形成第二互連介電層120之前,可以在第一互連介電層114上方形成第二蝕刻停止層118。在一些實施例中,第二蝕刻停止層118可以在與第一蝕刻停止層110相同或相似的條件下形成及/或可以包括與第一蝕刻停止層110相同或相似的材料。在一些實施例中,如果第一互連介電層114和第二互連介電層120包括不同的材料,則第二蝕刻停止層118可以或可以不形成在第一互連介電層114和第二互連介電層120之間。
在一些實施例中,可以在第二互連介電層120上方形成第一抗反射結構802。在一些實施例中,第一抗反射結構802可包括,例如,第一抗反射層802a及第二抗反射層802b。在一些實施例中,第一抗反射結構802有助於之後的圖案化/微影製程。在一些實施例中,第一抗反射結構802可通過沉積製程(例如,旋塗、CVD、PVD、ALD等)形成並包含有機或無機材料。在一些實施例中,第一遮罩結構804通過使用微影和移除(例如蝕刻)製程形成在第一抗反射結構802上。在一些實施例中,第一遮罩結構804包括光阻或硬遮罩材料。在一些實施例中,第一遮罩結構804直接覆蓋第一互連導線112中的一者或多者,而第一互連導線112中的一者或多者並不直接位於第一遮罩結構804下方。
如第9圖的剖面圖900所示,在一些實施例中,根據第一遮罩結構804執行第一移除製程902,以移除第二互連介電層120的部分。在一些實施例中,不直接位於第一遮罩結構804下方的第一抗反射結構802的部分在第一移除製程902期間完全被移除,且第二互連介電層120不直接位於第一遮罩結構804下方的上部在第一移除製程902期間被移除。在一些實施例中,在第一移除製程902後,第二互連介電層120仍然完全覆蓋第二蝕刻停止層118。在一些實施例中,第二互連介電層120未被第一遮罩結構804覆蓋的部分具有約等於第四距離d 4的寬度。在一些實施例中,第四距離d4在例如大約5奈米和大約3000奈米之間的範圍內。在一些實施例中,由第一移除製程902定義的第二互連介電層120的新側壁120s可以位於相對於新下表面120L的第一角度a 1。在一些實施例中,如第9圖所示,第一角度a 1是從新下表面120L遠離所測量的,並且可以在大約50度和大約95度之間的範圍內。
在一些實施例中,第一移除製程902可為或包括蝕刻製程。例如,在一些實施例中,第一移除製程902可為或包括反應離子蝕刻、電感耦合電漿及/或電容耦合電漿。在此類實施例中,第一移除製程902可利用以下氣體蝕刻劑中的一種或多種:碳氫氣體(例如CH 4)、氟化物氣體(例如CH 3F、CH 2F 2、C 4F 8、C 4F 6、CF 4)、溴化氫、一氧化碳、二氧化碳、三氯化硼、氯氣、氮氣、氦氣、氖氣、氬氣或其他一些合適的氣體。在一些實施例中,第一移除製程902可在設定為介於約0°C與約100°C之間的範圍內的溫度;大約0.2毫托和大約120毫託之間範圍內的壓力;大約50瓦和大約3000瓦之間範圍內的功率;以及大約0伏和大約1200伏之間的範圍內的偏壓的腔室中進行。
如第10圖的剖面圖1000所示,在一些實施例中,從第二互連介電層120完全移除第一抗反射結構(第9圖的802)和第一遮罩結構(第9圖的804)。在一些實施例中,第一抗反射結構(第9圖的802)和第一遮罩結構(第9圖的804)通過濕式蝕刻劑移除,並且第二互連介電層120可以保持大抵上不受濕式蝕刻劑影響。
如第11圖的剖面圖1100所示,在第二互連介電層120上方形成第二遮罩結構1104。在一些實施例中,第二遮罩結構1104包括直接設置在第一互連導線112中一者的上方的第一開口1106。在一些實施例中,在形成在第二遮罩結構1104之前,可以在第二互連介電層120上方形成第二抗反射結構1102。在一些實施例中,第二抗反射結構1102可以包括設置在第三抗反射層1102a上方的第四抗反射層1102b。在一些實施例中,第二抗反射結構1102有助於之後的圖案化/微影製程。在一些實施例中,第二抗反射結構1102和第二遮罩結構1104可以分別使用與形成第一抗反射結構(第8圖的802)和第一遮罩結構(第8圖的804)類似的製程形成。類似地,在一些實施例中,第二抗反射結構1102和第二遮罩結構1104分別包括與第一抗反射結構(第8圖的802)和第一遮罩結構(第8圖的804)相似的材料。
在一些實施例中,第一線202與直接在第二遮罩結構1104的第一開口1106下方的第一互連導線112的中心相交。在一些實施例中,第二線310與第一開口1106的中心相交。在一些實施例中,第一互連導線112的中心可以定義為第一互連導線112的寬度的中點,類似地,第一開口1106的中心可以定義為第一開口1106的寬度的中點。在一些實施例中,第一線202和第二線310垂直於基板102的最頂面。在一些實施例中,由於微影精度及/或準確度的限制,例如,第一線202可以偏離第二線310。在此類實施例中,第一開口1106可能直接疊置第一互連介電層114的一部分。在此類實施例中,第二遮罩結構1104的第一開口1106可以被確定與下方的第一互連導線112中的一者「未對準」。
在一些實施例中,第一線202可以與第二線310共線,並且第一開口1106可以僅直接疊置下方的第一互連導線112中的一者。在此類其他實施例中,可以確定第一開口1106與下方的第一互連導線112中的一者對準。在其他實施例中,第一線202可以與第二線310共線,但是第一開口1106的寬度可以大於第一互連導線112的寬度。在此類的其他實施例中,第一開口1106仍然可以直接疊置第一互連介電層114的部分。在一些實施例中,第一開口1106的寬度可以在例如大約5奈米和大約300奈米之間的範圍內。
第12A圖和第12B圖根據一些實施例,分別示出了執行微影和移除製程以露出第一互連導線中的一者的上表面的剖面圖1200A和1200B。
如第12A圖的剖面圖1200A所示,在一些實施例中,執行第一導孔移除製程1202以移除直接位於第二遮罩結構1104的第一開口(第11圖的1106)下方的部分的第二抗反射結構1102、第二互連介電層120、第二蝕刻停止層118,以及導線結構上介電質116。在此類實施例中,第一導孔移除製程1202形成穿過第二抗反射層反射結構1102、第二互連介電層120、第二蝕刻停止層118和導線結構上介電質116的空腔1204,以露出直接位於第二遮罩結構1104的第一開口(第11圖的1106)下方的第一互連導線112的上表面112u。在一些實施例中,空腔1204可以具有呈第二角度a 2的側壁。在一些實施例中,第二角度a 2可以在例如大約90度和大約140度之間的範圍內。
在一些實施例中,第一導孔移除製程1202包括一種或多種乾式蝕刻劑,用於移除部分的第二抗反射結構1102、第二互連介電層120、第二蝕刻停止層118和導線結構上介電質116。在一些實施例中,可以使用與第一移除製程(第9圖的902)相同或相似的參數(例如,蝕刻劑氣體、腔室條件)來實現第一導孔移除製程1202。因此,在一些實施例中,第一導孔移除製程1202可為或包括反應離子蝕刻、電感耦合電漿、遠程電漿及/或電容耦合電漿。在此類實施例中,第一導孔移除製程1202可以利用以下氣體蝕刻劑中的一種或多種:碳氫氣體(例如CH 4)、氟化物氣體(例如CH 3F、CH 2F 2、C 4F 8、C 4F 6、CF 4)、溴化氫、一氧化碳、二氧化碳、三氯化硼、氯氣、氮氣、氦氣、氖氣、氬氣或一些其他合適的氣體。在一些實施例中,第一導孔移除製程1202可以在設定為介於大約0°C和大約100°C之間的範圍內的溫度;大約0.2毫托和大約120毫託之間範圍內的壓力;大約50瓦和大約3000瓦之間範圍內的功率;以及大約0伏和大約1200伏之間的範圍內的偏壓的腔室中進行。
在一些實施例中,空腔1204還可以露出第一互連介電層114的最頂面114t。此外,在一些實施例中,空腔1204可以露出第一互連介電層114的側壁114s。然而,在此類實施例中,第一互連介電層114可以對第一導孔移除製程1202的一種或多種乾式蝕刻劑的移除大抵上具有抗性。更具體而言,在一些實施例中,第一互連介電層114可以對用於移除第二蝕刻停止層118和導線結構上介電質116的乾式蝕刻劑的移除具有抗性。在一些實施例中,第一互連介電層114還對用於移除第二互連介電層120的乾式蝕刻劑的移除具有抗性。因此,第一互連介電層114包括與導線結構上介電質116不同的材料,使第一互連介電層114和導線結構上介電質116在第一導孔移除製程1202期間,具有不同的蝕刻選擇性。在一些實施例中,第一互連介電層114和導線結構上介電層116之間的蝕刻選擇性的差異在例如約15和約25。如此,可以在第一導孔移除製程1202期間可保護第一互連介電層114,從而保持由第一互連介電層114提供的第一互連導線112之間的隔離。
如第12B圖的剖面圖1200B所示,在一些實施例中,執行第一遮罩移除製程1206以移除第二抗反射結構(第12A圖的1102)和第二遮罩結構(第12A圖的1104)。在一些實施例中,第一遮罩移除製程1206包括濕式清潔蝕刻劑。在此類實施例中,第二互連介電層120、第一互連介電層114、第二蝕刻停止層118、導線結構上介電質116和第一互連導線112可以大抵上不受第一遮罩移除製程1206的影響。
第13A圖和第13B圖根據一些其他實施例,分別示出了執行微影和移除製程以露出第一互連導線112中的一者的上表面112u的剖面圖1300A和1300B。因此,在一些實施例中,方法可以從第11圖的剖面圖1100接續第13A圖的剖面圖1300A,從而跳過分別在第12A圖的剖面圖1200A和第12B圖的剖面圖1200B所示的動作。
如第13A圖的剖面圖1300A所示,在一些實施例中,執行第二導孔移除製程1302以移除直接位於第二遮罩結構1104的第一開口(第11圖的1106)下方的部分的第二抗反射結構1102、第二互連介電層120和第二蝕刻停止層118。在此類實施例中,第二導孔移除製程1302可以形成穿過第二抗反射結構1102、第二互連介電層120和第二蝕刻停止層118的第一子腔1304以露出直接設置在第二遮罩結構1104的第一開口(第11圖的1106)下方的導線結構上介電質116。因此,在一些實施例中,導線結構上介電質116上不被第二導孔移除製程1302移除。在一些實施例中,第一子腔1304也露出第一互連介電層114的最頂面114t。在此類實施例中,第一互連介電層114對第二導孔移除製程1302的移除大抵上具有抗性。
在一些實施例中,第二導孔移除製程包括一種或多種用於除去部分的第二抗反射結構1102、第二互連介電層120和第二蝕刻停止層118的乾式蝕刻劑。在一些實施例中,第二導孔移除製程1302可以藉由使用與第一移除製程(第9圖的902)相同或相似的參數(例如,蝕刻劑氣體、腔室條件)來實現。因此,在一些實施例中,第二導孔移除製程1302可為或包括反應離子蝕刻、電感耦合電漿、遠程電漿及/或電容耦合電漿。在此類實施例中,第二導孔移除製程1302可以利用以下氣體蝕刻劑中的一種或多種:碳氫氣體(例如CH 4)、氟化物氣體(例如CH 3F、CH 2F 2、C 4F 8、C 4F 6、CF 4)、溴化氫、一氧化碳、二氧化碳、三氯化硼、氯氣、氮氣、氦氣、氖氣、氬氣或一些其他合適的氣體。在一些實施例中,第二導孔移除製程1302可以在設定為大約0°C和大約100°C之間的範圍內的溫度;大約0.2毫托和大約120毫託之間範圍內的壓力;大約50瓦和大約3000瓦之間範圍內的功率;以及大約0伏和大約1200伏之間的範圍內的偏壓的腔室中進行。
如第13B圖的剖面圖1300B所示,在一些實施例中,執行第二遮罩移除製程1306以移除第二抗反射結構(第13A圖的1102)和第二遮罩結構(第13A圖的1104)。在一些實施例中,第二遮罩移除製程1306包括濕式清潔蝕刻劑。在一些實施例中,第二遮罩移除製程1306還移除直接設置在第一子腔(第13A圖的1304)下方的導線結構上介電質116的部分,從而形成延伸穿過第二互連介電層120、第二蝕刻停止層118和導線結構上介電質116的空腔1204,以露出第一互連導線112的上表面112u。在其他實施例中,在移除第二遮罩結構(第13A圖的1104)之後,使用不同的濕式蝕刻劑或乾式蝕刻劑來移除導線結構上介電質116的部分。
在一些實施例中,在第二遮罩移除製程1306期間露出第一互連介電層114的最頂面114t和第一互連介電層114的側壁114s。然而,在此類實施例中,第一互連介電層114可以對第二遮罩移除製程1306的移除大抵上具有抗性。因此,第一互連介電層114包括與導線結構上介電質116的不同的材料,使第一互連介電層114和導線結構上介電質116的在第二遮罩移除製程1306期間具有不同的蝕刻選擇性。如此,可以在第二遮罩移除製程1306期間保護第一互連介電層114,從而保持由第一互連介電層114提供的第一互連導線112之間的隔離。
第14A圖、第14B圖和第14C圖根據又一些其他實施例,分別示出了執行微影和移除製程以露出第一互連導線中的一者的上表面112u的剖面圖1400A、1400B和1400C。因此,在一些實施例中,方法可以從第11圖的剖面圖1100接續第14A圖的剖面圖1400A,從而跳過分別在第12A圖的剖面圖1200A、第12B圖的1200B、第13A圖的1300A和第13B圖的1300B中所示的動作。
如第14A圖的剖面圖1400A所示,在一些實施例中,執行第三導孔移除製程1402以移除直接位於第二遮罩結構1104的第一開口(第11圖的1106)下方的部分的第二抗反射結構1102和第二互連介電層120。在此類實施例中,第三導孔移除製程1402可以形成穿過第二抗反射結構1102和第二互連介電層120的第二子腔1404以露出直接設置在第二遮罩結構1104的第一開口(第11圖的1106)下方的第二蝕刻停止層118。因此,在一些實施例中,第二蝕刻停止層118未被第三導孔移除製程1402移除。
在一些實施例中,第三導孔移除製程1402包括用於移除第二抗反射結構1102和第二互連介電層120的部分的一種或多種乾式蝕刻劑。在一些實施例中,第三導孔移除製程1402可以使用與第一移除製程(第9圖的902)相同的或相似的參數(例如,蝕刻劑氣體、腔室條件)。因此,在一些實施例中,第三導孔移除製程1402可以是或包括反應離子蝕刻、電感耦合電漿、遠程電漿及/或電容耦合電漿。在此類實施例中,第三導孔移除製程1402可以利用以下氣體蝕刻劑中的一種或多種:碳氫氣體(例如CH 4)、氟化物氣體(例如CH 3F、CH 2F 2、C 4F 8、C 4F 6、CF 4)、溴化氫、一氧化碳、二氧化碳、三氯化硼、氯氣、氮氣、氦氣、氖氣、氬氣或一些其他合適的氣體。在一些實施例中,第三導孔移除製程1402可以在設置為大約0°C和大約100°C之間的範圍內的溫度;大約0.2毫托和大約120毫託之間範圍內的壓力;大約50瓦和大約3000瓦之間範圍內的功率;以及大約0伏和大約1200伏之間的範圍內的偏壓的腔室中進行。
如第14B圖的剖面圖1400B所示,在一些實施例中,執行第三遮罩移除製程1406以移除第二抗反射結構(第14A圖的1102)和第二遮罩結構(第14A圖的1104)。在一些實施例中,第三遮罩移除製程1406包括第一濕式清潔蝕刻劑以移除第二抗反射結構(第14A圖的1102)和第二遮罩結構(第14A圖的1104)。在一些實施例中,第三遮罩移除製程1406還使用第一濕式清潔蝕刻劑移除設置在第二子腔(第14A圖的1404)下方的第二蝕刻停止層118的一部分。在一些其他實施例中,在第一濕式清潔蝕刻劑之後使用第二蝕刻劑(例如,濕式蝕刻劑或乾式蝕刻劑)以根據第二互連介電層120,選擇性地移除設置在第二子腔(第14A圖的1404)下方的第二蝕刻停止層118。
根據一些實施例,第三遮罩移除製程1406形成露出導線結構上介電質116的第三子腔1408。在一些實施例中,通過第三遮罩移除製程1406形成的第三子腔1408也露出第一互連介電層114的最頂面114t。在此類實施例中,第一互連介電層114和導線結構上介電質116可以對第三遮罩移除製程1406的移除大抵上具有抗性。
如第14C圖的剖面圖1400C所示,在一些實施例中,執行第四導孔移除製程1410以移除設置在第三子腔(第14B圖的1408)下方的導線結構上介電質116的部分。在此類實施例中,第二互連介電層120可以在第四導孔移除製程1410期間作為遮罩結構。在此類實施例中,第二互連介電層120可以對第四導孔移除製程1410的移除大抵上具有抗性。
在一些實施例中,第四導孔移除製程1410包括用於移除導線結構上介電質116的部分的濕式蝕刻劑或一種或多種乾式蝕刻劑。在一些實施例中,第四導孔移除製程1410可以使用與第一移除製程(第9圖的902)相同或相似的參數(例如、蝕刻劑氣體、腔室條件)而達成。因此,在一些實施例中,第四導孔移除製程1410可以是或包括反應離子蝕刻、電感耦合電漿、遠程電漿及/或電容耦合電漿。在此類實施例中,第四導孔移除製程1410可以利用以下氣體蝕刻劑中的一種或多種:碳氫氣體(例如CH 4)、氟化物氣體(例如CH 3F、CH 2F 2、C 4F 8、C 4F 6、CF 4)、溴化氫、一氧化碳、二氧化碳、三氯化硼、氯氣、氮氣、氦氣、氖氣、氬氣或一些其他合適的氣體。在一些實施例中,第四導孔移除製程1410可以在設置為大約0°C和大約100°C之間的範圍內的溫度;大約0.2毫托和大約120毫託之間範圍內的壓力;大約50瓦和大約3000瓦之間範圍內的功率;以及大約0伏和大約1200伏之間的範圍內的偏壓的腔室中進行。
在一些這樣實施例中,第一互連介電層114的最頂面114t和側壁114s在第四導孔移除製程1410期間露出,並且對第四導孔移除製程1410的移除大抵上具有抗性。在一些實施例中,第四導孔移除製程1410形成延伸穿過第二互連介電層120、第二蝕刻停止層118和導線結構上介電質116的空腔1204以露出第一互連導線112中一者的上表面112u。因此,第一互連介電層114包括與導線結構上介電質116不同的材料,使第一互連介電層114和導線結構上介電質116在第四導孔移除製程1410期間具有不同的蝕刻選擇性。如此,可以在第四導孔移除製程1410期間保護第一互連介電層114,從而保持由第一互連介電層114提供的第一互連導線112之間的隔離。
應當理解的是,第12A圖和第12B圖中所示的形成空腔1204的方法;第13A圖和第13B圖中所示的形成空腔1204的方法;第14A圖、第14B圖和第14C圖中所示的形成空腔1204的方法導致類似或大抵相同的空腔1204,延伸穿過第二互連介電層120、第二蝕刻停止層118和導線結構上介電質116中的一者,但不穿過第一互連介電層114,以露出第一互連導線112中的一者的上表面112u。
應當理解的是,用於形成空腔1204的濕式蝕刻和乾式蝕刻的其他組合也在本揭露的範圍內。此外,在一些實施例中,其中第二遮罩結構(第11圖的1104)的第一開口(第11圖的1106)大抵在下方的第一互連導線112上方對準,空腔1204可以不露出第一互連介電層114的最頂面114t或側壁114s。
在一些實施例中,方法可以從第12B圖、第13B圖或第14C圖中任一者接續第15圖。
第15圖根據一些實施例,示出了分別對應於第12B圖、第13B圖或第14C圖的剖線AA’的俯視圖1500。
第15圖的俯視圖1500示出了空腔1204露出第一互連導線(第14A圖的112)的上表面112u。此外,應當理解的是,其他空腔(未示出)可以與空腔1204同時形成,使得其他空腔(未示出)露出其他設置在導線結構上介電質116下方的其他第一互連導線(第14B圖的112)的上表面。
如第16圖的剖面圖1600所示,在一些實施例中,導電材料1602形成在第二互連介電層120上以完全填充第二互連介電層120、第二蝕刻停止層118和導線結構上介電質116中的空腔(第14C圖的1204)。在此類實施例中,可以形成互連導孔122,延伸穿過第二互連介電層120、第二蝕刻停止層118和導線結構上介電質116,以接觸第一互連導線112中的一者。在一些實施例中,導電材料可以包括例如鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的導電材料。此外,在一些實施例中,導電材料1602可以通過沉積製程(例如,PVD、CVD、ALD、旋塗等)在設置為例如約150°C和大約400°C之間的溫度的腔室中形成。在一些實施例中,導電材料1602的厚度可以在例如大約10埃和大約1000奈米之間的範圍內。
如第17圖的剖面圖1700所示,在一些實施例中,執行移除製程以移除設置在第二互連介電層120的最頂面120t上方的導電材料的部分(第16圖的1602),從而形成耦合並設置在互連導孔122上方的第二互連導線124。在一些實施例中,移除製程包括平坦化製程(例如,CMP)。在一些實施例中,下層互連導孔106、第一互連導線112、互連導孔122和第二互連導線124組成位於基板102上方的互連結構104,並且提供設置於互連結構104上方和下方的各種電子裝置(例如,半導體裝置、照相裝置、記憶體裝置等)之間的導電通路。
在一些實施例中,至少由於導線結構上介電質116包括與第一互連介電層114不同的材料,所以在形成空腔(第14C圖的1204)期間不移除第一互連介電層114以形成互連導孔122。在此類實施例中,即使空腔(第14C圖的1204)露出第一互連介電層114,互連導孔122也不會延伸進入第一互連介電層114中。因此,互連導孔122不延伸到第一互連導線112的上表面112u下方,並且互連導孔122不直接在相鄰的第一互連導線112之間延伸。因此,導線結構上介電質116為互連導孔122的形成提供了更大的製程窗口,因為即使互連導孔122在第一互連導線112上方未對準,由第一互連介電層114提供的第一互連導線112之間的隔離被保持。因此,導線結構上介電質116在不犧牲下方的第一互連導線112之間的隔離的情況下增加了形成互連導孔122的製程窗口,以提供高性能和可靠的積體晶片。
第18圖示了與第4-11、12A、12B、13A、13B、14A~14C、15-17圖中示出的方法相對應的方法1800的一些實施例的流程圖。
雖然方法1800在下面被圖示和描述為一系列動作或事件,但是應當理解的是,這些動作或事件的圖示排序不應被解釋為限制意義。例如,一些動作可以以不同的順序發生及/或與除了在此示出及/或描述的那些動作或事件之外的其他動作或事件同時發生。此外,可能不需要所有圖示的動作來實現本文描述的一個或多個方面或實施例。 此外,這裡描述的一個或多個動作可以在一個或多個單獨的動作及/或階段中執行。
在動作1802中,在基板上方形成第一互連介電層。
在動作1804中,互連導線形成在第一互連介電層內並延伸穿過第一互連介電層。第5圖示出了對應於動作1802和1804的一些實施例的剖面圖500。
在動作1806中,執行第一移除製程以移除互連導線的上部,使互連導線的上表面設置在第一互連介電層的上表面下方。第6圖示出了對應於動作1806的一些實施例的剖面圖600。
在動作1808中,導線結構上介電質直接形成在互連導線上方。第7圖示出了對應於動作1808的一些實施例的剖面圖700。
在動作1810中,在第一互連介電層上方形成第二互連介電層。第8圖示出了對應於動作1810的一些實施例的剖面圖800。
在動作1812中,執行第二移除製程以形成空腔延伸穿過導線結構上介電質和第二互連介電層以露出互連導線的上表面。第12A圖和第12B圖分別示出了對應於動作1812的一些實施例的剖面圖1200A和1200B。
在動作1814中,在空腔內形成導電材料以形成耦合到互連導線的互連導孔。第17圖示出了對應於動作1814的一些實施例的剖面圖1700。
所以,本揭示關於一種在互連導線上形成互連導孔的方法,其中在互連導線上形成導線結構上介電質,在形成互連導孔時幫助選擇性移除導線結構上介電質的部分而不是周圍的第一互連介電層,以增加互連導孔的製程窗口。
因此,在一些實施例中,一種積體晶片,包括:第一互連介電層,設置於基板上方;互連導線,延伸穿過第一互連介電層;導線結構上介電質,設置於互連導線的正上方且具有被第一互連介電層圍繞的外壁;第二互連介電層,設置於第一互連介電層上方;以及互連導孔,延伸穿過第二互連介電層及導線結構上介電質,以接觸互連導線。
在一些實施例中,互連導孔的最底面直接接觸互連導線的上表面,且其中互連導孔的最底面全部直接覆蓋互連導線的上表面。
在一些實施例中,互連導孔的最底面具有小於或等於互連導線的上表面的寬度。
在一些實施例中,第一互連介電層包括不同於導線結構上介電質的一材料。
在一些實施例中,積體晶片更包括:蝕刻停止層,直接設置於第一互連介電層與第二互連介電層之間,且直接設置於導線結構上介電質與第二互連介電層之間。
在一些實施例中,第一互連介電層及第二互連介電層包括一相同材料。
在一些實施例中,積體晶片更包括:附加互連導線,橫向設置於互連導線旁,且通過第一互連介電層與互連導線間隔開;以及附加導線結構上介電質,設置於附加互連導線正上方,且通過第一互連介電層與導線結構上介電質間隔開。
在一些實施例中,互連導孔不直接設置於互連導線與附加互連導線之間。
在一些實施例中,一種積體晶片,包括:第一互連介電層,設置於基板上;第一互連導線,設置於基板上且被第一互連介電層橫向圍繞;第二互連導線,設置於基板上,被第一互連介電層橫向圍繞,且通過第一互連介電層與第一互連導線間隔開;第一導線結構上介電質及第二導線結構上介電質,分別設置於第一互連導線及第二互連導線正上方,其中第一導線結構上介電質通過第一互連介電層與第二導線結構上介電質間隔開;第二互連介電層,設置於第一互連介電層上;以及互連導孔,延伸穿過第二互連介電層以及第一導線結構上介電質,以直接接觸第一互連導線。
在一些實施例中,其中第一導線結構上介電質以及第二導線結構上介電質具有與第一互連介電層的上表面大抵平行的上表面。
在一些實施例中,第二導線結構上介電質具有大約等於第二互連導線的寬度。
在一些實施例中,互連導孔完全設置於第一互連導線上方。
在一些實施例中,第一導線結構上介電質及第二導線結構上介電質包括與第一互連介電層不同的材料。
在一些實施例中,互連導孔的一部份設置於第一互連介電層正上方,且包括水平面,直接接觸第一互連介電層的最頂面並在其上方延伸。
在一些實施例中,積體晶片更包括:蝕刻停止層,設置於第一互連介電層及第一導線結構上介電質及第二導線結構上介電質上方,其中蝕刻停止層將第一互連介電層與第二互連介電層間隔開。
在一些實施例中,一種積體晶片形成的方法,包括:於基板上形成第一互連介電層;於第一互連介電層內形成互連導線並延伸穿過第一互連介電層;執行第一移除製程,以移除互連導線的上部,使互連導線的上表面設置於第一互連介電層的上表面下方;於互連導線正上方形成導線結構上介電質;於第一互連介電層上方形成第二互連介電層;執行第二移除製程,以形成延伸穿過導線結構上介電質及第二互連介電層的空腔,以露出互連導線的上表面;以及於空腔中形成導電材料,以形成耦合到互連導線的互連導孔。
在一些實施例中,第二移除製程包括一種或多種蝕刻劑,且其中第一互連介電層對於一種或多種蝕刻劑的移除大抵上具有抗性。
在一些實施例中,空腔露出第一互連介電層的上表面。
在一些實施例中,積體晶片形成的方法更包括:在形成第二互連介電層前,於第一互連介電層上形成蝕刻停止層。
在一些實施例中,第二移除製程包括用於移除第二互連介電層的一種或多種蝕刻劑,且其中蝕刻停止層對於一種或多種蝕刻劑的移除大抵上具有抗性。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下方,做各式各樣的改變、取代和替換。
100A:剖面圖 100B:俯視圖 102:基板 104:互連結構 106:下層互連導孔 108:下層互連介電層 110:第一蝕刻停止層 112:第一互連導線 112t:最頂面 112u:上表面 114:第一互連介電層 114t:最頂面 114s:側壁 116:導線結構上介電質 116t:最頂面 118:第二蝕刻停止層 120:第二互連介電層 120L:新下表面 120t:最頂面 120s:新側壁 122:互連導孔 122s:水平面 124:第二互連導線 130:第一方向 132:第二方向 200:剖面圖 202:第一線 204:界面 300:剖面圖 302:半導體裝置 304:源極/汲極區 306:閘電極 308:閘極介電層 310:第二線 400:剖面圖 500:剖面圖 600:剖面圖 602:刻移除製程 700:剖面圖 800:剖面圖 802:第一抗反射結構 802a:第一抗反射層 802b:第二抗反射層 804:第一遮罩結構 900:剖面圖 902:第一移除製程 1000:剖面圖 1100:剖面圖 1102:第二抗反射結構 1102a:第三抗反射層 1102b:第四抗反射層 1104:第二遮罩結構 1106:第一開口 1200A:剖面圖 1200B:剖面圖 1202:第一導孔移除製程 1204:空腔 1206:第一遮罩移除製程 1300A:剖面圖 1300B:剖面圖 1302:第二導孔移除製程 1304:第一子腔 1306:第二遮罩移除製程 1400A:剖面圖 1400B:剖面圖 1400C:剖面圖 1402:第三導孔移除製程 1404:第二子腔 1406:第三遮罩移除製程 1408:第三子腔 1410:第四導孔移除製程 1500:俯視圖 1600:剖面圖 1602:導電材料 1700:剖面圖 1800:方法 1802:動作 1804:動作 1806:動作 1808:動作 1810:動作 1812:動作 1814:動作 AA’:剖線 a 1:第一角度 a 2:第二角度 d 1:第一距離 d 2:第二距離 d 3:第三距離 d 4:第四距離
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1A圖係根據一些實施列,繪示出具有位於第一互連導線上的導線結構上介電質的積體晶片的剖面圖,其中互連導孔延伸穿過導線結構上介電質以接觸第一互連導線。 第1B圖係根據一些實施列,繪示出對應於第1A圖的俯視圖。 第2圖係根據另一些實施列,繪示出具有位於第一互連導線上的導線結構上介電質的積體晶片的剖面圖。 第3圖係根據一些實施列,繪示出具有設置第一互連導線上的導線結構上介電質的積體晶片的剖面圖,其中第一互連導線耦合至半導體裝置。 第4-11、12A、12B、13A、13B、14A~14C、15-17圖係根據一些實施列,繪示出形成具有設置第一互連導線上的導線結構上介電質的積體晶片的方法的各種視圖,其中導線結構上介電質有助於防止上方的互連導孔在第一互連導線的最頂面下方形成。 第18圖係根據一些實施列,繪示出對應於第4-11、12A、12B、13A、13B、14A~14C、15-17圖中示出的方法的流程圖。
100A:剖面圖
102:基板
104:互連結構
106:下層互連導孔
108:下層互連介電層
110:第一蝕刻停止層
112:第一互連導線
114:第一互連介電層
114t:最頂面
116:導線結構上介電質
116t:最頂面
118:第二蝕刻停止層
120:第二互連介電層
122:互連導孔
122s:水平面
124:第二互連導線
d1:第一距離
d2:第二距離

Claims (1)

  1. 一種積體晶片,包括: 一第一互連介電層,設置於一基板上方; 一互連導線,延伸穿過該第一互連介電層;一導線結構上介電質,設置於該互連導線的正上方且具有被該第一互連介電層圍繞的外壁; 一第二互連介電層,設置於該第一互連介電層上方;以及 一互連導孔,延伸穿過該第二互連介電層及該導線結構上介電質,以接觸該互連導線。
TW111107499A 2021-04-21 2022-03-02 積體晶片 TW202243058A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/236,234 2021-04-21
US17/236,234 US11652054B2 (en) 2021-04-21 2021-04-21 Dielectric on wire structure to increase processing window for overlying via

Publications (1)

Publication Number Publication Date
TW202243058A true TW202243058A (zh) 2022-11-01

Family

ID=82976294

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111107499A TW202243058A (zh) 2021-04-21 2022-03-02 積體晶片

Country Status (3)

Country Link
US (2) US11652054B2 (zh)
CN (1) CN114975238A (zh)
TW (1) TW202243058A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11362030B2 (en) * 2020-05-29 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sidewall spacer structure enclosing conductive wire sidewalls to increase reliability
US11923293B2 (en) * 2021-07-08 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure on interconnect wire to increase processing window for overlying via

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US7329613B2 (en) 2005-03-11 2008-02-12 International Business Machines Corporation Structure and method for forming semiconductor wiring levels using atomic layer deposition
WO2008056295A1 (en) 2006-11-09 2008-05-15 Nxp B.V. A semiconductor device and a method of manufacturing thereof
CN101589459A (zh) 2007-01-26 2009-11-25 应用材料股份有限公司 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
US20090093100A1 (en) 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法
JP2009194286A (ja) 2008-02-18 2009-08-27 Panasonic Corp 半導体装置及びその製造方法
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9390965B2 (en) 2013-12-20 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap forming techniques for interconnect structures
KR102146705B1 (ko) 2013-12-23 2020-08-21 삼성전자주식회사 반도체 소자의 배선 구조물 및 그 형성 방법
KR102190654B1 (ko) 2014-04-07 2020-12-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9837355B2 (en) 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
CN107680953B (zh) 2017-11-09 2023-12-08 长鑫存储技术有限公司 金属内连线的互连结构及其形成方法、半导体器件
US10804199B2 (en) * 2018-09-25 2020-10-13 Globalfoundries Inc. Self-aligned chamferless interconnect structures of semiconductor devices
US11664305B2 (en) 2019-06-27 2023-05-30 Intel Corporation Staggered lines for interconnect performance improvement and processes for forming such
US11145540B2 (en) 2019-08-08 2021-10-12 Nanya Technology Corporation Semiconductor structure having air gap dielectric and the method of preparing the same

Also Published As

Publication number Publication date
US11652054B2 (en) 2023-05-16
US20230275028A1 (en) 2023-08-31
CN114975238A (zh) 2022-08-30
US20220344264A1 (en) 2022-10-27

Similar Documents

Publication Publication Date Title
TWI814860B (zh) 金屬-絕緣體-金屬電容器結構、半導體裝置及其製造方法
KR101653460B1 (ko) 이중 다마신 구조체 및 그 형성 방법
US10020259B2 (en) Copper etching integration scheme
US11594419B2 (en) Reduction of line wiggling
TW202125756A (zh) 半導體結構
US11908794B2 (en) Protection liner on interconnect wire to enlarge processing window for overlying interconnect via
TW202243058A (zh) 積體晶片
US20210193513A1 (en) Selective deposition of a protective layer to reduce interconnect structure critical dimensions
US20220359385A1 (en) Interconnect structure
TW202145392A (zh) 半導體結構
US20240145380A1 (en) Barrier structure on interconnect wire to increase processing window for overlying via
US20070284743A1 (en) Fabricating Memory Devices Using Sacrificial Layers and Memory Devices Fabricated by Same
US20230369389A1 (en) High capacitance mim device with self aligned spacer
US11942364B2 (en) Selective deposition of a protective layer to reduce interconnect structure critical dimensions
KR100569571B1 (ko) 반도체 소자 제조 방법
TW202303896A (zh) 積體晶片
JPH08330422A (ja) 半導体装置およびその製造方法
KR20020085578A (ko) Mim형 커패시터 제조방법
KR20050034316A (ko) 반도체 장치의 커패시터 제조방법