TWI814860B - 金屬-絕緣體-金屬電容器結構、半導體裝置及其製造方法 - Google Patents
金屬-絕緣體-金屬電容器結構、半導體裝置及其製造方法 Download PDFInfo
- Publication number
- TWI814860B TWI814860B TW108124069A TW108124069A TWI814860B TW I814860 B TWI814860 B TW I814860B TW 108124069 A TW108124069 A TW 108124069A TW 108124069 A TW108124069 A TW 108124069A TW I814860 B TWI814860 B TW I814860B
- Authority
- TW
- Taiwan
- Prior art keywords
- electrode
- conductive layer
- layer
- side wall
- metal
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 124
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 87
- 239000002184 metal Substances 0.000 title claims abstract description 87
- 239000003990 capacitor Substances 0.000 title claims abstract description 72
- 238000000034 method Methods 0.000 title description 92
- 239000000758 substrate Substances 0.000 claims abstract description 105
- 238000004519 manufacturing process Methods 0.000 claims description 13
- 238000000151 deposition Methods 0.000 claims description 10
- 238000000059 patterning Methods 0.000 claims description 6
- 239000010410 layer Substances 0.000 description 298
- 239000000463 material Substances 0.000 description 34
- 230000008569 process Effects 0.000 description 34
- 238000005530 etching Methods 0.000 description 28
- 239000000203 mixture Substances 0.000 description 27
- 239000000126 substance Substances 0.000 description 24
- 238000005229 chemical vapour deposition Methods 0.000 description 20
- 239000007789 gas Substances 0.000 description 20
- 229910052581 Si3N4 Inorganic materials 0.000 description 16
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 16
- 229910052710 silicon Inorganic materials 0.000 description 12
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 11
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 11
- 239000010703 silicon Substances 0.000 description 11
- 239000000460 chlorine Substances 0.000 description 10
- 239000011229 interlayer Substances 0.000 description 10
- 238000002161 passivation Methods 0.000 description 10
- 238000005240 physical vapour deposition Methods 0.000 description 10
- 238000001020 plasma etching Methods 0.000 description 10
- 239000011241 protective layer Substances 0.000 description 10
- 239000005368 silicate glass Substances 0.000 description 10
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 9
- 238000000231 atomic layer deposition Methods 0.000 description 9
- 150000002500 ions Chemical class 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 238000002955 isolation Methods 0.000 description 8
- 238000001465 metallisation Methods 0.000 description 8
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 7
- 229910052796 boron Inorganic materials 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 7
- 230000005669 field effect Effects 0.000 description 7
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 230000004044 response Effects 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 6
- 238000003486 chemical etching Methods 0.000 description 6
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 6
- 230000004888 barrier function Effects 0.000 description 5
- 230000015556 catabolic process Effects 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 5
- 230000001681 protective effect Effects 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 229910052801 chlorine Inorganic materials 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 230000000295 complement effect Effects 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 238000009713 electroplating Methods 0.000 description 3
- 239000005350 fused silica glass Substances 0.000 description 3
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000001636 atomic emission spectroscopy Methods 0.000 description 2
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- FNNNDGRSNHMVSM-UHFFFAOYSA-N C(C)(C)(C)N[SiH2]NC(C)(C)C.C(C)(C)(C)N[SiH2]NC(C)(C)C Chemical compound C(C)(C)(C)N[SiH2]NC(C)(C)C.C(C)(C)(C)N[SiH2]NC(C)(C)C FNNNDGRSNHMVSM-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 1
- 239000008186 active pharmaceutical agent Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000010292 electrical insulation Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000001914 filtration Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 230000005055 memory storage Effects 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/40—Capacitors
- H01L28/60—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/40—Capacitors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32131—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
- H01L23/5223—Capacitor integral with wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/40—Capacitors
- H01L28/60—Electrodes
- H01L28/75—Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B61/00—Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
- H10B61/10—Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having two electrodes, e.g. diodes or MIM elements
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Semiconductor Integrated Circuits (AREA)
- Bipolar Transistors (AREA)
- Semiconductor Memories (AREA)
Abstract
一種金屬-絕緣體-金屬電容器結構包含半導體基板和位於半導體基板上方的底部導電層,底部導電層相對於半導體基板的頂表面具有傾斜側壁。金屬-絕緣體-金屬電容器結構更包含位於底部導電層上方的頂部導電層,頂部導電層相對於半導體基板的頂表面具有垂直側壁。金屬-絕緣體-金屬電容器結構更包含介於底部導電層和頂部導電層之間的絕緣層,絕緣層覆蓋底部導電層的傾斜側壁。
Description
本發明實施例係關於半導體裝置及其製造方法,且特別是有關於金屬-絕緣體-金屬電容器結構及其製造方法。
半導體積體電路(integrated circuit,IC)產業已歷經快速成長。積體電路之材料和設計上的技術進展已經產生了數個世代的積體電路,每一世代皆較前一世代具有更小且更複雜的電路。在積體電路演進的歷程中,當幾何尺寸(亦即使用生產製程可以產生的最小元件(或線))縮減時,功能密度(亦即單位晶片面積的內連接裝置數量)通常也增加。這種尺寸微縮的製程通常藉由提高生產效率及降低相關成本而提供一些效益。
半導體許多技術上的進步發生在記憶體裝置領域,其中一些關於電容器結構。電容器結構是許多數據處理和數據儲存應用的元件。這種電容器結構包含位於介電質或其他絕緣層兩側上的兩個導電電極,可基於用於形成電極的材料來對它們進行分類。舉例來說,在金屬-絕緣體-金屬(metal-insulator-metal;MIM)電容器中,電極大致上為金屬。金屬-絕緣體-金屬電容器提供的優點是在施加於其上的電壓範圍相對寬時仍具有相對恆定的電容值。金屬-絕緣體-金屬電容器也表現出相對小的寄生電阻。金屬-絕緣體-金屬電容器相容於互補式金屬氧化物半導體場效電晶體(Complementary Metal-Oxide-
Semiconductor;CMOS)製程。目前的製造方法和結構雖然在許多方面是合適的,但仍難以滿足所需的效能和可靠性標準,例如頻率響應(frequency response)和擊穿電壓曳尾(breakdown voltage tailing)。因此,在此領域需要進一步改良。
根據本發明的一實施例,提供一種金屬-絕緣體-金屬電容器結構,包含:半導體基板;底部導電層,位於半導體基板上方,其中底部導電層相對於半導體基板的頂表面具有傾斜側壁;頂部導電層,位於底部導電層上方,其中頂部導電層相對於半導體基板的頂表面具有垂直側壁;以及絕緣層,介於底部導電層和頂部導電層之間,其中絕緣層覆蓋底部導電層的傾斜側壁。
根據本發明的另一實施例,提供一種半導體裝置,包含:底電極,設置於半導體基板之上;介電層,設置於底電極之上;頂電極,設置於介電層之上;以及具有側壁的導孔部件,側壁的中間部分與底電極和介電層直接相接,側壁的中間部分相對於側壁的頂部漸縮。
又根據本發明的另一實施例,提供一種半導體裝置的製造方法,包含:提供半導體基板;形成金屬-絕緣體-金屬電容於半導體基板之上;沉積介電層於金屬-絕緣體-金屬電容之上;形成開口於介電層中,其中開口具有第一側壁與介電層直接相接,第一側壁相對於半導體基板的頂表面形成第一角度;以及向下延伸開口,其中開口具有第二側壁與金屬-絕緣體-金屬直接相接,第二側壁相對於半導體基板的頂表面形成第二角度,第二角度小於第一角度。
100、300:半導體裝置
102、104、500:區域
110:金屬-絕緣體-金屬電容器
112、114、116:電極
118:絕緣介電層
120、320:基板
122:底部基板
124:層間介電層
126:保護介電層
128、132:金屬間介電層
130、138:蝕刻停止層
134、134a、134b、134c:導電部件
136:阻障層
140:介電層
150:上介電層
151、402:硬遮罩層
152:鈍化層
154:保護層
160、160a、160b、160c:接觸件(導孔)
162、162a、162b、162c、170:開口
164:襯層
200、1300:方法
202、204、206、208、210、212、214、216、218、1302、1304、1306、1308、1310、1312、1314、1316、1318:操作
312、314、316:導電層
312’:底電極
314’:中間電極
316’:頂電極
318、318’、318”:絕緣介電層
350、352、354:虛線
406:電漿源氣體
408:離子組成物
410:化學蝕刻劑
A、B:點
S112、S114、S312、S314:漸縮側壁
S116、S316:垂直側壁
S120、S320:頂表面
S160-1:上側壁
S160-2:中間側壁
S’160-2、S”160-2、S'''160-2:側壁
S160-3:下側壁
V160-2:頂點
θ、γ:角度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1圖根據一些實施例繪示包含金屬-絕緣層-金屬結構的半導體裝置之剖面側視圖。
第2圖根據本發明實施例的一些面向繪示形成金屬-絕緣層-金屬結構的方法流程圖。
第3、4、5、6、7、8、9、10、11、12圖根據一些實施例繪示根據第2圖方法的製程期間金屬-絕緣層-金屬結構之剖面側視圖。
第13圖根據本發明實施例的一些面向繪示形成如第1圖所示之半導體裝置的方法流程圖。
第14、15、16、17、18、19、20、21、22、23、24圖根據一些實施例繪示根據第13圖的方法製程期間半導體結構之剖面側視圖。
第25圖繪示比較各個金屬-絕緣層-金屬結構之間的擊穿電壓曲線。
第26圖繪示比較各個半導體結構之間的電容頻率響應。
以下內容提供了許多不同的實施例或範例,用於實施本發明實施例之不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。此外,本發明實施例在不同範例中可重複使用參考
數字及/或字母,此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。
此外,其中可能用到與空間相對用語,例如「在......之下」、「在......下方」、「下方的」、「在......上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或步驟中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相對形容詞也將依轉向後的方位來解釋。更進一步,當以「約(about)」、「近似(approximate)」及其類似的用語描述一個數字或一個數字範圍時,除非特別說明,此用語係用以涵蓋所描述數字的+/- 10%以內的數值。舉例來說,用語「約5nm」包含從4.5nm至5.5nm的尺寸範圍。
本發明實施例總體上是關於半導體裝置及製造方法。更具體地,本發明實施例關於金屬-絕緣體-金屬(metal-insulator-metal;MIM)結構、所述結構的製造方法及包含所述結構的半導體裝置。
第1圖是半導體裝置100的示意性剖面側視圖。半導體裝置100可以是在加工積體電路期間所製造的中間裝置,半導體裝置100可包含靜態隨機存取記憶體(static random access memory;SRAM)及/或邏輯電路、被動元件,例如電阻器、電容器和電感器、和主動元件,例如p型場效電晶體(pFETs)、n型場效電晶體(nFETs)、鰭式場效電晶體(FinFETs)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistor;MOSFET)和互補式金屬氧化物半導體(complementary metal-oxide semiconductor CMOS)電晶體、雙極性電晶體(bipolar transistor)、高壓電晶體、高頻電晶體、其他記憶體單元、以及前述之組合。此外,包含電晶體、閘
極堆疊、主動區域、隔離結構的各種部件以及本發明實施例中其他部件係提供以簡化與便於理解,而非將實施例限制於任何類型的裝置、任何數量的裝置、任何數量的區域或任何構造的結構或區域。
在繪示的實施例中,半導體裝置100被配置為系統單晶片(system-on-chip;SoC)裝置,其在單一晶片上整合不同功能。在一或多個實施例中,半導體裝置100包含區域102和104,每一個區域被配置用於不同的功能。在一實施例中,區域102是邏輯區域,而區域104是記憶體區域。區域102可包含形成邏輯電路、處理器電路或其他合適電路的部分電晶體,其用於控制區域104中記憶體單元的讀取和寫入功能。區域104可包含形成用於記憶體儲存之記憶體單元的複數個電晶體和金屬-絕緣體-金屬電容器110,所述記憶體單元例如動態隨機存取記憶體(dynamic random access memory;DRAM)單元、非揮發性記憶體(non-volatile memory;NVM)單元、電阻隨機存取記憶體(resistive random-access memory;RRAM)單元或其他合適的記憶體單元。在一或多個實施例中,金屬-絕緣體-金屬電容器110可用於各種其他功能,例如混合訊號(mixed-signal)應用中的去耦電容器(decoupling capacitor)或高頻噪音過濾電容器。金屬-絕緣體-金屬電容器110也可用於振盪器、相移網路(phase-shift networks)、旁通過濾器(bypass filters)中或做為射頻(radio frequency;RF)應用中的耦合電容器。應理解的是,半導體裝置100可包含其他部件和結構,例如電子熔絲(e-fuses)、電感器、鈍化層、接合墊和封裝,但為了簡單和清楚起見,在第2圖中簡化。
半導體裝置100包含半導體基板120。在一或多個實施例中,半導體基板120包含晶體結構的底部基板122,例如矽晶圓。或者,底部基板122可包含其他元素半導體,例如鍺;化合物半導體,包含碳化矽、氮化鎵、砷化鎵、磷化鎵、磷化銦、砷化銦和銻化銦;合金半導體,包含矽鍺、砷磷化鎵
(gallium arsenide phosphide)、磷化鋁銦(aluminum indium phosphide)、砷化鋁鎵(aluminum gallium arsenide)、砷化鎵銦(gallium indium arsenide)、磷化鎵銦(gallium indium phosphide)和砷磷化鎵銦(gallium indium arsenide phosphide);或前述之組合。在另一實施例中,底部基板122包含銦錫氧化物(indium tin oxide;ITO)玻璃。
根據本技術領域習知的設計需求(例如p型基板或n型基板),底部基板122可包含各種摻雜型態。此外,底部基板122可包含各種摻雜區域,例如p型井(p井或PW)或n型井(n井或NW)。此外,底部基板122可選地(optionally)可包含磊晶層(epi-layer)、可應變(strained)以提高效能、且可包含絕緣體上覆矽(silicon-on-insulator;SOI)結構。
底部基板122更可包含隔離結構以隔離一或多個裝置,例如淺溝槽隔離(shallow trench isolation;STI)部件。淺溝槽隔離部件可包含氧化矽、氮化矽、氮氧化矽、氟化物摻雜的矽酸鹽(fluoride-doped silicate;FSG)及/或本技術領域習知的低介電常數(low-k)介電材料。其他隔離方法及/或部件也可做為淺溝槽隔離的替代或補充。可以藉由反應離子蝕刻(reactive ion etching;RIE)底部基板122形成溝槽,然後藉由沉積製程以絕緣材料填充此溝槽並藉由化學機械研磨(chemical-mechanical-polishing;CMP)製程進行整平來形成淺溝槽隔離部件。
此外,層間介電(interlayer dielectric;ILD)層124覆蓋底部基板122中的前述部件。層間介電層124可以由氧化矽或低介電常數介電材料形成。層間介電層124可包含四乙氧基矽烷(tetraethylorthosilicate;TEOS)氧化物、未經摻雜的矽酸鹽玻璃或經摻雜的氧化矽例如硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、熔融石英玻璃(fused silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼摻雜矽玻璃(boron
doped silicon glass;BSG)及/或其他合適的介電材料。可以藉由電漿增強化學氣相沉積(plasma enhanced CVD;PECVD)、流動式化學氣相沉積(flowable CVD;FCVD)或其他合適的方法來形成層間介電層124。在一些實施例中,層間介電層124的厚度在約100nm至約400nm的範圍,例如約200nm。
半導體基板120更包含堆疊在層間介電層124上方的一或多個介電層,例如保護介電層126、金屬間介電(inter-metal dielectric;IMD)層128和132以及介於其間的蝕刻停止層130。保護介電層126可包含用於保護層間介電層124的碳化矽。在一些實施例中,保護介電層126的厚度在約20nm至約200nm的範圍,例如約55nm。蝕刻停止層130可包含氮化矽、氮氧化矽及/或其他合適的材料。在一些實施例中,蝕刻停止層130的厚度在約25nm至約100nm的範圍,例如約50nm。金屬間介電層128和132可包含氧化矽、未經摻雜的矽酸鹽玻璃(undoped silicate glass;USG)及/或其他合適的材料。在一些實施例中,每一個金屬間介電層的厚度在約300nm至約1800nm的範圍。在一特定實施例中,金屬間介電層128具有約620nm的厚度,且金屬間介電層132具有約900nm的厚度。半導體基板120可包含複數個金屬間介電層,不限於本發明實施例所示的兩個金屬間介電層128和132。金屬間介電層為多層內連線結構提供電性絕緣以及結構支撐。
多層內連線結構可以包含複數個金屬化層,且可以更包含設置於半導體基板120中之內連線部件的導孔(vias)或接觸件,例如後段製程(back-end-of-the-line;BEOL)部件。舉例而言,上金屬化層(例如金屬4(M4)、金屬5(M5)等)包含埋設於金屬間介電層132中的複數個導電部件(例如導線、接觸件或導孔)134。在一特定實施例中,金屬間介電層132是頂部金屬間介電層且導電部件134(例如134a~134c)做為一部分頂部金屬化層的導線,例如後段製程金屬化的第五(M5)層;但也可能是其他位置。在一實施例中,導
電部件134包含銅。其他範例包含W、Al、Ti、Ta及/或其他合適的導電組合物。可以藉由合適的微影、蝕刻和沉積製程來形成導電部件134,例如化學氣相沉積(chemical vapor deposition;CVD)或物理氣相沉積(physical vapor deposition;PVD)。在又一實施例中,導電部件134的形成可包含單鑲嵌製程或雙鑲嵌製程。
在一實施例中,頂部金屬化層更包含介於導電部件134和金屬間介電層132之間的阻障層(barrier layer)136。阻障層136可包含TaN、TiN、HfN、ZrN、WN、NbN、MoN、前述之組合及/或其他合適的導電材料。可以藉由化學氣相沉積、原子層沉積(atomic layer deposition;ALD)、物理氣相沉積、電鍍及/或其他合適的沉積製程來形成阻障層136。
多層內連線結構提供區域102和104中各個裝置和部件之間的內連線。應理解的是,本發明實施例不限制邏輯裝置彼此間或邏輯裝置與金屬-絕緣體-金屬電容器或記憶體單元間特定的內連線。本發明所屬技術領域中具有通常知識者可理解的是,根據本發明一些實施例的金屬-絕緣體-金屬電容器可實現在無數的應用、結構、裝置佈局和內連線方案(schemes)中。因此,為了簡化和清晰的目的,此處並未繪示出邏輯裝置、記憶體單元以及各種裝置之間之內連線的額外細節。
半導體基板120也包含覆蓋導電部件134和金屬間介電層132的蝕刻停止層138。蝕刻停止層138可包含氮化矽、氮氧化矽及/或其他合適的材料。在一些實施例中,蝕刻停止層138的厚度在約25nm至約150nm的範圍,例如約75nm。在一實施例中,蝕刻停止層138比蝕刻停止層130厚,例如厚約25nm。
半導體基板120更包含覆蓋蝕刻停止層138的介電層140。介電層140可包含電漿增強氧化物(plasma-enhanced oxide;PEOX)、電漿增強氮化矽(plasma-enhanced SiN;PE-SiN)、未經摻雜的矽酸鹽玻璃、電漿增強未經
摻雜的矽酸鹽玻璃(plasma-enhanced USG;PE-USG)或類似的材料。介電層140的形成可以藉由電漿增強化學氣相沉積、流動式化學氣相沉積或其他合適的方法。在一些實施例中,介電層140的厚度在約200nm至約1000nm的範圍,例如約400nm。
繼續參照第1圖,區域104中的金屬-絕緣體-金屬電容器110包含底電極112、中間電極114、頂電極116和設置於相鄰電極之間的絕緣介電層118。底電極112沉積於半導體基板120的頂表面S120上。在一或多個實施例中,電極112、114和116包含氮化鈦(TiN)。或者,電極112、114和116可選擇地(optionally)可包含氮化鉭(TaN)、氮化鎢(WN)、釕(Ru)、銥(Ir)、鉑(Pt)及前述之組合。另外,每一個電極可包含兩層或更多層堆疊,例如氮化鈦和鈦層的堆疊或是氮化鈦和鎢層的堆疊。不限於本發明實施例,每一個電極的厚度可以在約10nm至約80nm的範圍,例如約40nm。電容形成於底電極112和中間電極114之間以及中間電極114和頂電極116之間。金屬-絕緣體-金屬電容器110提供的總電容大約是每一對相鄰電極之間所形成電容之總和。為了增加總電容,在一些實施例中,金屬-絕緣體-金屬電容器110可具有多於一個的中間電極(例如兩個或三個中間電極)以堆疊更多電容。又在另一實施例中,金屬-絕緣體-金屬電容器110可以只包含底電極112和頂電極116。因此,頂電極和底電極之間所形成的電容大約是金屬-絕緣體-金屬電容器110所提供的總電容。
絕緣介電層118為高介電常數(high-k)介電材料,例如氧化鋯(ZrO2)。或者,絕緣介電層118可選擇地(optionally)可包含一或多層氧化矽(SiO2)、氮化矽(Si3N4)、氮氧化矽(SiON)、氧化鋁(Al2O3)、氮氧化矽鉿(HfSiON)、氧化鉭(Ta2O5)、氧化鉿(HfO2)、氧化鈦(TiO2)、鈦酸鋇鍶(barium strontium titanate;BST)、鈦酸鍶氧化物(strontium titanate oxide;STO)或前述之組合。相鄰電極之間的絕緣介電層118的厚度可以在約2
nm至約18nm的範圍,例如約6nm。在繪示的實施例中,絕緣介電層118形成於區域102和104上做為毯覆層(blanket layer)。
在繪示的實施例中,底電極112在其角落區域具有側壁S112,其相對於半導體基板120的頂表面S120傾斜。側壁S112相對於頂表面S120(或相對於底電極112的底表面)形成約60度至約80的角度,例如約77度。側壁S112也稱為傾斜側壁或漸縮側壁(tapered sidewall)。漸縮側壁S112有助於絕緣介電層118的介電材料更佳地落在角落區域中。相較於其他垂直側壁,絕緣介電層118在漸縮側壁之上更為緻密。結果,抑制了通常會在金屬-絕緣體-金屬電容器的角落區域中發現的漏電流。另一方面,具有較廣範圍之介電擊穿電壓(dielectric breakdown voltage;Vbd)(稱為「Vbd曳尾」)的一些金屬-絕緣體-金屬電容器,其介電擊穿電壓(Vbd)經常引起可靠性問題。舉例來說,具有強烈漏電流的金屬-絕緣體-金屬電容器經常遭遇這種Vbd曳尾,這會造成不穩定或不想要的裝置效能。例示性Vbd曳尾曲線繪示於第25圖。如第25圖所示,對於具有垂直側壁的電極來說,當跨越電極的電壓略大於臨界值V0時,跨越電極的漏電流就會急劇增加,直到電容器完全被擊穿。
也如第25圖所示,相對於頂表面S120具有大於77度的漸縮側壁(或等效地從垂直方向傾斜13度),即使跨越電極兩端的電壓已經大於臨界值V0,仍然觀察不到Vbd曳尾曲線。藉由漸縮側壁S112的緩和,經抑制的漏電流有助於減輕金屬-絕緣體-金屬電容器的Vbd曳尾問題。此外,在一些實施例中,沉積在漸縮側壁上的絕緣介電層比垂直側壁更厚,這擴大了角落區域中兩個相鄰電極之間的間隔(separation)。由於間隔較大,所以較不可能發生擊穿(breakdown)。本發明實施例的發明人已觀察到,當漸縮側壁從垂直方向傾斜至少10度時,將顯著地減輕Vbd曳尾,如第25圖所示。
參照回第1圖,中間電極114也可以在其角落處具有漸縮側壁S114
以改善裝置效能。側壁S114相對於頂表面S120形成約60度至約80度的角度,例如約77度。
頂電極116可選擇地也可具有漸縮側壁或者替代地具有垂直側壁。在繪示的實施例中,頂電極116相對於半導體基板120的頂表面S120具有大致上垂直的側壁S116。由於絕緣介電層118沒有覆蓋頂電極116,所以在頂電極116的角落區域中產生一些漏電流。因此,相較於中間電極和底電極的側壁,垂直側壁S116不會顯著惡化裝置可靠性。在一些實施例中,關於在蝕刻製程期間在中間電極和底電極中形成漸縮側壁以及在頂電極中形成垂直側壁,中間電極的導電材料組成可以與底電極相同,但是與頂電極的導電材料組成不同。
繼續參照第1圖,半導體裝置100具有覆蓋金屬-絕緣體-金屬電容器110的一或多個材料層,例如上介電層150、鈍化層152和保護層154。上介電層150可包含電漿增強氧化物、電漿增強氮化矽、未經摻雜的矽酸鹽玻璃、電漿增強未經摻雜的矽酸鹽玻璃或類似的材料。可以藉由電漿增強化學氣相沉積、流動式化學氣相沉積或其他合適的方法來形成上介電層150。在一些實施例中,上介電層150的厚度在約200nm至約1000nm的範圍,例如約450nm。鈍化層152可包含電漿增強氧化物、電漿增強未經摻雜的矽酸鹽玻璃或類似的材料。可以藉由電漿增強化學氣相沉積、流動式化學氣相沉積或其他合適的方法來形成鈍化層152。在一些實施例中,鈍化層152的厚度在約600nm至約3000nm的範圍,例如約1200nm。保護層154可包含氮化矽、氮氧化矽或類似的材料。可以藉由低壓化學氣相沉積(low-pressure chemical vapor deposition;LPCVD)、電漿增強化學氣相沉積或其他合適的方法來形成保護層154。在一些實施例中,保護層154的厚度在約300nm至約1000nm的範圍,例如約700nm。
半導體裝置100更包含延伸穿過上介電層150和介電層140並接合
(engage)相應導電部件134的複數個接觸件160(或導孔160)。具體而言,在區域104中,接觸件160a進一步延伸穿過頂電極116和底電極112以及它們之間的絕緣介電層118。接觸件160a將底電極112和頂電極116電耦合至導電部件134a。接觸件160b延伸穿過中間電極114和夾著中間電極114的絕緣介電層118。接觸件160b將中間電極118電耦合至導電部件134b。當對導電部件134a和134b施加不同的電壓等級時,在(從各接觸件耦合之)金屬-絕緣體-金屬電容器110的頂/底電極和中間電極之間也建立了電壓差,且電荷開始在金屬-絕緣體-金屬電容器110中累積。在區域102中,接觸件160c延伸穿過絕緣介電層118並與導電部件134c接合。接觸件160c將導電部件134c中的訊號路由(routes)至裝置的頂層或外部。接觸件160的頂部(例如導線或導電墊)高於上介電層150。來自裝置(又稱為半導體裝置)100外部的接合線可以藉由其上方的開口170落在接觸件160的頂部上。雖然在區域104和102中分別只繪示接觸件160a/160b和接觸件160c,但可理解的是,複數個類似的接觸件可以電耦合至兩個區域中的各種部件。
接觸件160可包含鎢、銅、鋁、其他合適的導電材料或前述之組合。接觸件160的形成可以藉由合適的微影、蝕刻和沉積製程,例如化學氣相沉積或物理氣相沉積。在又一實施例中,接觸件160的形成可包含單鑲嵌製程或雙鑲嵌製程。在一或多個實施例中,接觸件160具有圓形剖面;然而,它們可以替代地具有任何適當形狀的剖面,例如正方形或矩形剖面。在一實施例中,接觸件160更包含襯層164。襯層164可包含TaN、TiN、HfN、ZrN、WN、NbN、MoN、前述之組合及/或其他合適的導電材料。襯層164的形成可以藉由化學氣相沉積、原子層沉積、物理氣相沉積、電鍍及/或其他合適的沉積製程。
接觸件160(例如接觸件160a)具有可分成三個部分的側壁S160,亦即上側壁S160-1、中間側壁S160-2和下側壁S160-3。上側壁S160-1相對於半導體基板
120的頂表面S120(或相對於底電極112的底表面)形成約70度至約90度的角度,例如約80度。中間側壁S160-2相對於半導體基板120的頂表面S120(或相對於底電極112的底表面)形成約30度至約70度的角度,例如約50度。因此,中間側壁S160-2相對於頂部側壁(又稱為上側壁)S160-1被稱為漸縮側壁。下側壁S160-3相對於半導體基板120的頂表面S120(或相對於底電極112的底表面)形成約70度至約90度的角度,例如約80度。在一些實施例中,下側壁S160-3大致上與頂部側壁S160-1平行。在一些實施例中,中間側壁S160-2以使得沿著側壁S160-2延伸的假想線將在頂點V160-2處相接(interfacing)的方式漸縮,頂點V160-2位於接觸件160的底表面上方。
在接觸件160a中,中間側壁S160-2與頂電極116、絕緣介電層118和底電極112物理接觸。藉由使中間側壁S160-2漸縮,使得電極和接觸件160a之間的接觸區域擴大而降低接觸電阻。因此,金屬-絕緣體-金屬電容器110的電容頻率響應在較小電阻環境中得到改善。類似地,在接觸件160b中,中間側壁S160-2與中間電極114和絕緣介電層118物理接觸。藉由使中間側壁S160-2漸縮,使得中間電極114和接觸件160a之間的接觸面積擴大,這進一步降低接觸電阻並有助於改善金屬-絕緣體-金屬電容器110的電容頻率響應。
第2圖根據一實施例繪示形成金屬-絕緣體-金屬結構的方法200流程圖。方法200僅僅是範例,並非用於將本發明實施例限制為超出申請專利範圍中明確記載的內容。可在方法200之前、期間和之後進行額外的操作,且可在所述方法的其他實施例中取代、刪除或移動所述的一些操作。以下結合第3~12圖描述方法200,其根據方法200的製造步驟期間繪示半導體裝置300的各種剖面圖。
在操作202處,方法200(第2圖)提供或提供有具有基板320的裝置結構(又稱為半導體裝置)300,如第3圖所示。基板320的各種材料組成和
膜層類似於上述參照第1圖的基板120所討論的。在各個實施例中,基板320是晶片(例如矽晶片),並且可在其上部包含一或多個金屬化層。
在操作204處,方法200(第2圖)沉積底部導電層312於基板320上,如第3圖所示。底部導電層312的各種材料組成類似於上述參照第1圖的底電極112所討論的。在一實施例中,底部導電層312為TiN層,其沉積為具有約10nm至約80nm的厚度,例如約40nm,但在其他實施例中可以較厚或較薄。可以藉由原子層沉積、物理氣相沉積、化學氣相沉積或其他合適的技術來形成底部導電層312。
在操作206處,方法200(第2圖)圖案化底部導電層312,藉此形成底電極312’。參照第4圖,操作206包含在底部導電層312上方形成圖案化的硬遮罩層402,並將硬遮罩層402的圖案轉移至底部導電層312。
適用於硬遮罩層402的材料包含但不限於:氧化矽、氮化矽、多晶矽、氮化矽(Si3N4)、氮氧化矽(SiON)、四乙氧基矽烷(TEOS)、含氮氧化物、氮氧化物、高介電常數材料(介電常數>5)或前述之組合。藉由包含沉積的步驟形成硬遮罩層402。例如藉由熱氧化形成氧化矽的硬遮罩層402。或者,藉由化學氣相沉積形成氮化矽(SiN)的硬遮罩層402。舉例來說,藉由使用包含六氯矽乙烷(Hexachlorodisilane;HCD或Si2Cl6)、二氯矽烷(Dichlorosilane;DCS或SiH2Cl2)、雙(叔丁基氨基)矽烷(Bis(TertiaryButylAmino)Silane;BTBAS或C8H22N2Si)和乙矽烷(Disilane;DS或Si2H6)的化學物質之化學氣相沉積來形成氮化矽(SiN)層。在一實施例中,硬遮罩層402的厚度為約20nm至約200nm。
硬遮罩層402的圖案化包含微影製程和蝕刻製程。在本實施例中,使用旋塗製程和軟烤製程在硬遮罩層402上形成光阻層。然後,將光阻層曝光於輻射下。使用曝光後烘烤(post-exposure baking;PEB)、顯影和硬烘烤
顯影經曝光的光阻層,藉此在硬遮罩層402之上形成圖案化的光阻層。隨後,藉由圖案化的光阻層之開口蝕刻硬遮罩層402。之後,使用例如濕式剝離或電漿灰化之合適製程來移除圖案化的光阻層。在一範例中,蝕刻製程包含施加乾式(或電漿)蝕刻以移除圖案化光阻層的開口內之硬遮罩層402。在另一範例中,蝕刻製程包含使用氫氟酸(HF)溶液施加濕式蝕刻以移除開口內的硬遮罩層402。
隨後,操作206在乾式蝕刻製程中使用圖案化硬遮罩層402做為蝕刻遮罩來蝕刻底部電極層(又稱為底部導電層)312。在繪示的實施例中,乾式蝕刻為電漿蝕刻,電漿蝕刻包含的製程參數例如:反應器操作壓力在約10毫托至約300毫托的範圍、射頻功率小於2700W(例如從約900W到約1600W的範圍)、偏壓小於約4500W、溫度在約10℃至約80℃的範圍、以及電漿蝕刻時間在約200秒至約500秒的範圍。電漿源氣體(plasma source gas)406包含適用於物理轟擊(bombardment)的離子組成物408,例如氬(Ar)、含氟氣體(例如CF4、SF6、CH2F2、CHF3、C4F8、C2F6)或前述之組合。在一特定實施例中,離子組成物408包含含氟氣體,用於對藉由圖案化硬遮罩層402露出的底部電極層312進行高度定向(high directional)物理轟擊。單獨的高度定向物理轟擊將形成具有銳利(sharp)垂直側壁的底電極312’。發明人已觀察到,藉由向電漿氣體添加具有足夠高氣體流速的特定化學蝕刻劑410,將減緩蝕刻速率並造成漸縮側壁。在一些實施例中,化學蝕刻劑410是用於化學蝕刻的含氯氣體(例如Cl2、CHCl3、CCl4)。在一些實施例中,化學蝕刻劑410包含硼(B)(例如B2F4、BCl3、B4Cl4、BBr3)。化學蝕刻劑410中硼的存在減緩了蝕刻速率。在一特定實施例中,化學蝕刻劑410包含硼和氯的組合。藉由將化學蝕刻劑410添加到電漿源氣體中,電漿蝕刻表現出物理蝕刻和化學蝕刻兩種能力。側壁的漸縮可藉由調整化學蝕刻劑410在總蝕刻劑流中的百分比來進行調節。在一些實
施例中,總蝕刻劑的流速小於1800sccm,例如約1200sccm。化學蝕刻劑410的流速可為總蝕刻劑流速的約30%至約50%,例如約40%。硬遮罩層402和底部導電層312的蝕刻可以是原位的(in-situ)。
參照第5圖,底電極312’具有漸縮側壁S312。側壁(又稱為漸縮側壁)S312相對於基板320的頂表面S320(或相對於底電極312’的底表面)形成約60度至約80度的角度,例如約77度。在形成底電極312’之後,藉由選擇性蝕刻製程移除硬遮罩層402。
在操作208處,方法200(第2圖)沉積絕緣介電層318’於底電極312’之上,如第6圖所示。絕緣介電層318’的各種材料組成類似於上述參照第1圖的絕緣介電層118所討論的。絕緣介電層318’以共形的方式沉積並覆蓋半導體基板320和底電極312’做為毯覆層。絕緣介電層318’的形成可以使用各種合適的製程,包含化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積和原子層沉積。由於漸縮側壁S312,絕緣介電層318’的材料組成較容易著陸(land)且在底電極312’的角落區域變得較緻密也較厚,這有助於減輕金屬-絕緣體-金屬結構的Vbd曳尾並增加裝置可靠性。絕緣介電層318’的厚度可以在約2nm至約18nm的範圍,例如約6nm。
在操作210處,方法200(第2圖)沉積中間導電層314於絕緣介電層318’上,如第7圖所示。中間導電層314的各種材料組成類似於上述參照第1圖的中間電極114所討論的。在一實施例中,底部導電層312和中間導電層314具有相同的材料組成。在一實施例中,中間導電層314為TiN層,其沉積為具有約10nm至約80nm的厚度,例如約40nm,但在其他實施例中可以較厚或較薄。中間導電層314的形成可以藉由原子層沉積、物理氣相沉積、化學氣相沉積或其他合適的技術。
在操作212處,方法200(第2圖)圖案化中間導電層314而得到中
間電極314’,如第8圖所示。操作212包含與操作206類似的步驟。操作212先在中間導電層314上形成圖案化的硬遮罩層(未繪示)。操作212接著施加包含適用於物理轟擊的離子組成物408和適用於化學蝕刻的化學蝕刻劑410的電漿源氣體406(如第4圖所示)。硬遮罩層的圖案因此被轉移到中間導電層314,得到具有漸縮側壁S314的中間電極314’。
在操作214處,方法200(第2圖)沉積另一絕緣介電層318”於中間電極314’之上,如第9圖所示。在一些實施例中,絕緣介電層318”具有與絕緣介電層318’相同的材料組成。在一些替代實施例中,絕緣介電層318”具有與絕緣介電層318’不同的材料組成,以便調整不同對電極之間的不同電容密度。在一特定實施例中,絕緣介電層318’和318”都包含氧化鉿(HfO2)。在另一實施例中,絕緣介電層318’包含氧化鉿(HfO2)且絕緣介電層318”包含氧化鋁(Al2O3)。絕緣介電層318”以共形方式沉積並覆蓋絕緣介電層318’和中間電極314’做為毯覆層。絕緣介電層318”的形成可以使用各種合適的製程,包含化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積和原子層沉積。由於漸縮側壁S314,絕緣介電層318”的材料組成較容易著陸且在中間電極314’的角落區域變得較緻密,這有助於改善金屬-絕緣體-金屬結構的Vbd曳尾並增加裝置可靠性。絕緣介電層318”的厚度可以在約2nm至約18nm的範圍,例如約6nm。絕緣介電層318’和318”統稱為絕緣介電層318。
為了在金屬-絕緣體-金屬結構中實現更高的總電容,方法200(第2圖)可選擇地可重複操作210~214一或多次,以在進行操作216之前堆疊複數個中間電極。
在操作216處,方法200(第2圖)沉積頂部導電層316於絕緣介電層318”上,如第10圖所示。頂部導電層316的各種材料組成類似於上述參照第1圖的頂電極316所討論的。導電層312、314和316可以具有相同的材料組成。在
一實施例中,頂部導電層316為TiN層,其沉積為具有約10nm至約80nm的厚度,例如約40nm,但在其他實施例中可以較厚或較薄。頂部導電層316的形成可以藉由原子層沉積、物理氣相沉積、化學氣相沉積或其他合適的技術。在一替代實施例中,底部導電層312和中間導電層314具有相同的材料組成,而頂部導電層316具有不同的材料組成。
在操作218處,方法200(第2圖)將頂部導電層316圖案化而得到頂電極316’,如第11圖所示。操作218先在頂部導電層316上形成圖案化的硬遮罩層(未繪示)。操作218接著施加包含適用於物理轟擊的離子組成物408但不包含化學蝕刻劑410的電漿源氣體406(如第4圖所示)。或者,操作218可以施加包含適用於物理轟擊的離子組成物408和小於總氣體流速的約20%(例如約10%)的化學蝕刻劑410的電漿源氣體406。高度定向的物理轟擊顯著地(dominantly)蝕刻出具有大致垂直的側壁(又稱為垂直側壁)S316的頂電極316’。在不同實施例中,垂直側壁S316的橫向位置有所不同。在一實施例中,垂直側壁S316位於底電極312’的漸縮側壁S312正上方(例如沿著虛線350)。在另一實施例中,垂直側壁S316從底電極312’橫向偏移(例如沿著虛線352)。在又一實施例中,垂直側壁S316位於底電極312’的水平頂表面正上方(例如沿著虛線354)。
在一些替代實施例中,方法200(第2圖)可選擇地可略過操作210~214以從操作208直接進行到操作216,藉此在底電極312’上方形成頂電極316’且沒有任何中間電極位於它們之間,如第12圖所示。頂電極316’和底電極312’將分別電耦合到兩個單獨的接觸件,如第1圖中的接觸件160b和160a。
第13圖根據一實施例繪示形成第1圖的半導體裝置100的方法1300流程圖。方法1300僅僅是範例,並非用於將本發明實施例限制為超出申請專利範圍中明確記載的內容。可在方法1300之前、期間和之後進行額外的操作,且
可在所述方法的其他實施例中取代、刪除或移動所述的一些操作。以下結合第14~24圖描述方法1300,其根據方法1300的製造步驟期間繪示半導體裝置100的各種剖面圖。
在操作1302處,方法1300(第13圖)提供或提供有半導體基板120,如第14圖所示。以上已參照第1圖討論了半導體基板120的各種材料組成和膜層。為了便於理解,故重複參照符號。在一實施例中,半導體基板120為矽基板或是其他合適的半導體基板。半導體基板120可包含複數個部件,例如:包含閘極、源極和汲極部件的場效電晶體(field effect transistors;FET);包含那些定義各種主動區域的隔離部件;具有金屬線、接觸件及導孔/或者由層間介電層及/或金屬間介電層介入的內連線或金屬化層(也稱為多層內連線結構);電容器結構;及/或其他合適的裝置和部件。在一實施例中,操作1302中提供的基板已經被處理到在後段製程中已形成至少一個金屬化層(例如M1、M2、M3、M4、M5等)的程度,例如繪示的導電部件134a~134c。
在操作1304處,方法1300(第13圖)形成金屬-絕緣體-金屬電容器110於半導體基板120之上,如第15圖所示。操作1304可包含已在上述參照第1圖所討論的操作200。電極112、114和116以及絕緣介電層118的子層在半導體基板120上方交錯(interleaved)。在一些實施例中,金屬-絕緣體-金屬電容器110具有一或多個中間電極114。在一些實施例中,金屬-絕緣體-金屬電容器110不具有中間電極114,但有底電極112和頂電極116。對於絕緣介電層118所覆蓋的電極,例如底電極112和中間電極114,側壁(例如S112和S114)在蝕刻期間漸縮以促進絕緣材料的著陸。頂電極116可以藉由使用不同蝕刻劑配方的蝕刻製程保留垂直側壁S116。
在操作1306處,方法1300(第13圖)沉積上介電層150於金屬-絕緣體-金屬電容器110之上,如第16圖所示。上介電層150可包含電漿增強氧化
物、電漿增強氮化矽、未經摻雜的矽酸鹽玻璃、電漿增強未經摻雜的矽酸鹽玻璃或類似的材料。上介電層150的形成可以藉由電漿增強化學氣相沉積、流動式化學氣相沉積或其他合適的方法。
在操作1308處,方法1300(第13圖)蝕刻上介電層150以在區域102和104中形成開口162(例如開口162a~162c),如第17圖所示。在一些實施例中,開口162的上部的直徑在約2μm至約30μm的範圍,例如約2.7μm。操作1308可先在上介電層150上方形成圖案化硬遮罩層151,然後蝕刻穿過圖案化硬遮罩層151中的開口。在一些實施例中,蝕刻為乾式蝕刻製程,例如包含電漿反應器操作壓力在約10毫托至約300毫托的範圍、射頻功率小於2700W(例如從約900W到約1600W的範圍)、偏壓小於大約4500W、溫度在約10℃至約80℃的範圍、以及電漿蝕刻時間在約200秒至約500秒的範圍的電漿蝕刻。電漿源氣體406包含適用於物理轟擊的離子組成物408,例如氬(Ar)、含氟氣體(例如CF4、SF6、CH2F2、CHF3、C4F8、C2F6)或前述之組合。在一特定實施例中,離子組成物408包含含氟氣體,用於對藉由圖案化硬遮罩層151露出的上介電層150進行高度定向物理轟擊。或者,電漿源氣體406也可包含特定化學蝕刻劑410,但是氣體流速低於總蝕刻劑流速的20%,例如約10%。在一些實施例中,化學蝕刻劑410為用於化學蝕刻的含氯氣體(例如Cl2、CHCl3、CCl4)。在一些實施例中,化學蝕刻劑410包含硼(B)(例如B2F4、BCl3、B4Cl4、BBr3)。在一特定實施例中,化學蝕刻劑410包含硼和氯的組合。在化學蝕刻劑410小於總蝕刻劑流速之20%的情況下,蝕刻期間主要為高度定向物理轟擊,因此開口162形成有相對銳利的上側壁S160-1,例如相對於半導體基板120的頂表面S120為約70度至約90度。
在一些實施例中,可以藉由終點控制(end-point)方法來監控蝕刻製程以精確地控制蝕刻時間。終點控制在蝕刻期間即時分析發射的殘餘物,
例如藉由用光學發射光譜法(optical emission spectroscopy;OES)檢查電漿蝕刻期間由電漿發射的光譜。當開始檢測到來自頂電極116的金屬材料組成時,蝕刻製程在頂電極116的上表面處停止。因此,上側壁S160-1與上介電層150物理接觸。
在操作1310處,方法1300(第13圖)藉由蝕刻穿過金屬-絕緣體-金屬電容器110向下延伸開口162,以形成從上側壁S160-1漸縮的中間側壁S160-2,如第18圖所示。操作1310與操作1308是原位進行的。操作1310將化學蝕刻劑410在總蝕刻劑流速中的流速增加到30%以上,例如約30%至約40%。藉由適用於物理轟擊的離子組成物408和適用於化學蝕刻的化學蝕刻劑410的組合,電漿源氣體406表現出物理蝕刻和化學蝕刻兩種能力,這也減緩了對金屬-絕緣體-金屬結構的蝕刻速率。結果,中間側壁S160-2相較於上側壁S160-1具有適度的斜率,相對於頂表面S120形成較小的角度。中間側壁S160-2也稱為漸縮側壁S160-2。在各個實施例中,中間側壁S160-2相對於半導體基板120的頂表面S120(或相對於底電極112的底表面)形成約30度至約70度的角度,例如約50度。
在操作1312處,方法1300(第13圖)進一步藉由蝕刻向下延伸開口162以露出導電部件134,形成比中間側壁S160-2更銳利的下側壁S160-3,如第19圖所示。操作1312與操作1308和1310是在原位進行。操作1312將化學蝕刻劑410在總氣體流速中的流速降低至約20%以下,例如約10%。或者,操作1312可以關閉(shut off)化學蝕刻劑410的氣態供應。蝕刻期間再次以高度定向物理轟擊為主,且下側壁S160-3比起中間側壁S160-2變得相對更銳利,例如相對於半導體基板120的頂表面S120為約70度至約90度。在一些實施例中,下側壁S160-3大致上與上側壁S160-1平行。下側壁S160-3與介電層140和蝕刻停止層138物理接觸。
操作1312可包含兩步驟蝕刻製程。在第一步驟中,膜層(又稱為蝕刻停止層)138做為蝕刻停止層。開口162向下延伸至膜層138的頂表面並使
其停止。在第二步驟中,施加對膜層138具有特定蝕刻速率的不同蝕刻劑以打開膜層138,且所述蝕刻劑允許開口162向下延伸至導電部件134的頂表面。在一些實施例中,開口162的底部的直徑在約0.5μm至約5μm的範圍,例如2μm。隨後藉由選擇性蝕刻製程將硬遮罩層151移除。
在操作1314處,方法1300(第13圖)形成接觸件160於開口162中,以提供與區域104中金屬-絕緣體-金屬電容器110的堆疊層和區域102中其他導電部件的連接,如第20圖所示。接觸件160可包含鎢、銅、鋁、其他合適的導電材料或前述之組合。接觸件160的形成可以藉由合適的微影、蝕刻及/或沉積製程,例如化學氣相沉積或物理氣相沉積。在又一實施例中,接觸件160的形成可包含單鑲嵌製程或雙鑲嵌製程。在一實施例中,接觸件160更包含襯層164。襯層164可包含TaN、TiN、HfN、ZrN、WN、NbN、MoN、前述之組合及/或其他合適的導電材料。襯層164的形成可以藉由化學氣相沉積、原子層沉積、物理氣相沉積、電鍍及/或其他合適的沉積製程。
第21圖中放大了接觸件160a藉由中間側壁S160-2與金屬-絕緣體-金屬電容器110接合的區域500。參照第21圖,中間側壁S160-2可以具有與金屬-絕緣體-金屬電容器110的不同材料層相接的多個區段,而不是平坦表面。在一些實施例中,區段包含與頂電極116相接的側壁S’160-2、與絕緣介電層118相接的側壁S”160-2、以及與底電極112相接的側壁S”160-2。每一個區段相對於半導體基板120的頂表面S120形成小於上側壁S160-1或下側壁S160-3的角度。然而,當蝕刻金屬-絕緣體-金屬電容器110的每一個相應材料層時,由於不同的蝕刻速率,每一個區段可以具有不同的角度。在繪示的實施例中,由於絕緣介電層118處的蝕刻速率最慢,側壁S”160-2比側壁S’160-2或側壁S'''160-2更為漸縮。側壁S’160-2和S”160-2可大致上平行。在中間側壁S160-2和頂表面S120之間形成的角度可以被計算為三個區段之間的平均角度θ,其中θ被認為是頂表面S120與所示連接中間側壁S160-2
兩端(例如點A和點B)的直虛線之間的角度。在一些實施例中,平均角度θ為約30度至約70度。與上側壁S160-1和頂表面S120之間形成的角度γ相比,本發明實施例的發明人已觀察到,當θ比γ小至少20度時,金屬-絕緣體-金屬電容器110的電容頻率響應將顯著改善。如第26圖所示,在非漸縮側壁(θ=γ=70度)的情況下,金屬-絕緣體-金屬電容器110的電容在較高頻率的曲線快速下降。做為比較,在具有漸縮側壁(γ=70度且θ=45度)的情況下,金屬-絕緣體-金屬電容器110的電容即使在較高頻率下也大致上維持不變。這是因為藉由使中間側壁S160-2漸縮,電極和接觸件160a之間的接觸面積增大,降低了接觸電阻。因此,金屬-絕緣體-金屬電容器110的電容頻率響應在較小電阻環境中得到改善。
可以藉由計時器模式(timer mode)來控制蝕刻穿過金屬-絕緣體-金屬電容器110的材料層的操作1310。在一些實施例中,操作1310可底蝕刻(under etch)底電極112,使得中間側壁S160-2的一端(點B)位於半導體基板120的頂表面S120上方(第22圖)。因此,下側壁S160-3向上延伸至底電極112中並且與底電極112的下部物理接觸。在一些實施例中,操作1310可以過蝕刻至半導體基板120中,使得中間側壁S160-2的一端(點B)位於頂表面S120下方(第23圖)。因此,中間側壁S160-2向下延伸至半導體基板120中並且與半導體基板120的上部(例如介電層140)物理接觸。
參照回第20圖,操作1312也可包含形成覆蓋接觸件160的鈍化層152。鈍化層152可包含電漿增強氧化物、電漿增強未經摻雜的矽酸鹽玻璃或類似的材料。鈍化層152的形成可以藉由電漿增強化學氣相沉積、流動式化學氣相沉積或其他合適的方法。
繼續參照第20圖,在操作1316處,方法1300(第13圖)沉積覆蓋半導體裝置100的保護層154。保護層154為下方層提供對各種環境污染物的保護。保護層154可包含氮化矽、氮氧化矽或類似的材料。保護層154的形成可以
藉由低壓化學氣相沉積、電漿增強化學氣相沉積或其他合適的方法。
在操作1318處,方法1300(第13圖)進行進一步的步驟以完成半導體裝置100的製造。舉例來說,方法1300可以在微影製程和蝕刻製程中形成開口170於接觸件160上方(第24圖),以形成著陸到接觸件160的接合線或倒裝晶片,藉此在外部佈線(routing)內連線以形成完整的積體電路。
雖然並非用於限制,但本發明的一或多個實施例為半導體裝置及其形成提供了許多益處。舉例來說,本發明實施例提供電極上具有漸縮側壁(或稱為傾斜側壁)的金屬-絕緣體-金屬結構,以改善擊穿電壓的曳尾效能。本發明實施例還為具有漸縮側壁的金屬-絕緣體-金屬結構提供內連線,其降低接觸電阻並擴展電容頻率響應。此外,金屬-絕緣體-金屬結構的形成可以輕易地整合至現有的半導體生產製程。
在一範例面向,本發明實施例關於一種金屬-絕緣體-金屬電容器結構。此金屬-絕緣體-金屬電容器結構包含:半導體基板;底部導電層,位於半導體基板上方,其中底部導電層相對於半導體基板的頂表面具有傾斜側壁;頂部導電層,位於底部導電層上方,其中頂部導電層相對於半導體基板的頂表面具有垂直側壁;以及絕緣層,介於底部導電層和頂部導電層之間,其中絕緣層覆蓋底部導電層的傾斜側壁。在一些實施例中,底部導電層的傾斜側壁相對於半導體基板的頂表面形成約60度至約80度的角度。在一些實施例中,絕緣層為高介電常數介電層。在一些實施例中,此金屬-絕緣體-金屬電容器結構更包含中間導電層,介於底部導電層和頂部導電層之間,其中中間導電層埋設於絕緣層中。在一些實施例中,中間導電層相對於半導體基板的頂表面也具有傾斜側壁。在一些實施例中,中間導電層的傾斜側壁相對於半導體基板的頂表面形成約60度至約80度的角度。在一些實施例中,絕緣層具有第一子層和位於第一子層上方的第二子層,且其中第一和第二子層包含不同的材料組成。在一些實
施例中,此金屬-絕緣體-金屬結構更包含具有側壁的導電部件,此側壁具有頂部、中間部分和底部,側壁的中間部分與絕緣層和底部導電層物理接觸,其中側壁的中間層相對於側壁的頂部漸縮。在一些實施例中,側壁的頂部和底部大致上平行。在一些實施例中,側壁的中間部分相對於半導體基板的頂表面形成約30度至約70度的角度。在一些實施例中,沿著側壁的中間部分的延長線相接於頂點,此頂點位於導電部件的底表面上方。
在另一範例面向,本發明實施例關於一種半導體裝置。此半導體裝置包含:底電極,設置於半導體基板之上;介電層,設置於底電極之上;頂電極,設置於介電層之上;以及具有側壁的導孔部件,側壁的中間部分與底電極和介電層直接相接,側壁的中間部分相對於側壁的頂部漸縮。在一些實施例中,側壁的中間部分相對於半導體基板的頂表面形成約30度至約70度的角度。在一些實施例中,此半導體裝置更包含中間電極,介於底電極和頂電極之間,其中側壁的中間部分也與頂電極直接相接,且其中中間電極與導孔部件電性隔離。在一些實施例中,底電極具有從半導體基板的頂表面的垂直方向傾斜的漸縮側壁,且其中介電層覆蓋漸縮側壁。在一些實施例中,頂電極相對於半導體基板的頂表面具有垂直側壁。
又在另一範例面向,本發明實施例關於一種方法。此方法包含:提供半導體基板;形成金屬-絕緣體-金屬電容於半導體基板之上;沉積介電層於金屬-絕緣體-金屬電容之上;形成開口於介電層中,其中開口具有第一側壁與介電層直接相接,第一側壁相對於半導體基板的頂表面形成第一角度;以及向下延伸開口,其中開口具有第二側壁與金屬-絕緣體-金屬直接相接,第二側壁相對於半導體基板的頂表面形成第二角度,第二角度小於第一角度。在一些實施例中,開口的形成和向下延伸包含:施加包含離子和化學蝕刻劑的電漿,其中化學蝕刻劑在下向延伸開口期間比起在形成開口期間具有較高的流速。在
一些實施例中,化學蝕刻劑包含硼。在一些實施例中,金屬-絕緣體-金屬電容的形成包含:沉積底部導電層;將底部導電層圖案化,藉此形成底電極,其中底部導電層的圖案化包含進行電漿蝕刻以漸縮底電極的側壁;沉積絕緣層於底電極之上;沉積頂部導電層於絕緣層之上;以及將頂部導電層圖案化,藉此形成頂電極。
以上概述數個實施例之部件,使得在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的面向。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。
100:半導體裝置
102、104:區域
110:金屬-絕緣體-金屬電容器
112、114、116:電極
118:絕緣介電層
120:基板
122:底部基板
124:層間介電層
126:保護介電層
128、132:金屬間介電層
130、138:蝕刻停止層
134a、134b、134c:導電部件
136:阻障層
140:介電層
150:上介電層
152:鈍化層
154:保護層
160a、160b、160c:接觸件(導孔)
164:襯層
170:開口
S112、S114:漸縮側壁
S116:垂直側壁
S120:頂表面
S160-1:上側壁
S160-2:中間側壁
S160-3:下側壁
V160-2:頂點
Claims (10)
- 一種金屬-絕緣體-金屬電容器結構,包括:一半導體基板,具有一頂表面;一底部導電層,位於該半導體基板的該頂表面上方,其中該底部導電層相對於該半導體基板的該頂表面具有一傾斜側壁;一頂部導電層,位於該底部導電層上方,其中該頂部導電層相對於該半導體基板的該頂表面具有一垂直側壁;一絕緣層,介於該底部導電層和該頂部導電層之間,其中該絕緣層覆蓋該底部導電層的該傾斜側壁;一中間導電層,介於該底部導電層和該頂部導電層之間,其中該中間導電層內埋於該絕緣層中;以及一導孔部件,連接該底部導電層和該頂部導電層且具有一側壁,其中該側壁在該底部導電層和該頂部導電層之間的斜率與在該頂部導電層上方的斜率不同。
- 如請求項1之金屬-絕緣體-金屬電容器結構,其中該中間導電層相對於該半導體基板的該頂表面也具有一傾斜側壁。
- 一種半導體裝置,包括:一底電極,設置於一半導體基板的一頂表面之上;一介電層,設置於該底電極之上;一頂電極,設置於該介電層之上;以及一導孔部件,具有一側壁,該側壁的一中間部分與該底電極和該介電層直接相接,該側壁的該中間部分相對於該側壁的一頂部分漸縮,其中該側壁的該頂部分相對於該半導體基板的該頂表面形成一第一角度,該側壁的該中間部分相對於該半導體基板的該頂表面形成一第二角度,並且該第二角度小於該第一 角度,該側壁在該底電極和該頂電極之間的斜率與在該頂電極上方的斜率不同。
- 一種半導體裝置,包括:一底部導電層,位於一半導體基板之上,其中該底部導電層的一端具有一第一側壁;一中間導電層,位於該底部導電層之上;一頂部導電層,位於該中間導電層之上,其中該頂部導電層的一端具有一第二側壁,其中該第一側壁相對於該第二側壁傾斜;一第一導電部件,電耦合至該底部導電層和該頂部導電層且具有一側壁,其中該側壁在該底部導電層和該頂部導電層之間的斜率與在該頂部導電層上方的斜率不同;以及一第二導電部件,電耦合至該中間導電層。
- 一種半導體裝置的製造方法,包括:提供一基板;形成一底電極於該基板之上,其中該底電極的一末端具有一漸縮側壁;沉積一絕緣層於該底電極之上;形成一頂電極於該絕緣層之上,其中該頂電極的一末端具有一垂直側壁,並且其中該頂電極的該垂直側壁位於該底電極正上方;以及形成一導孔部件連接該底電極和該頂電極且具有一側壁,其中該側壁在該底電極和該頂電極之間的斜率與在該頂電極上方的斜率不同。
- 一種半導體裝置的製造方法,包括:提供一半導體基板;沉積一第一導電層於該半導體基板之上;將該第一導電層圖案化,藉此形成具有一傾斜側壁的一底電極; 沉積一第二導電層於該底電極之上;將該第二導電層圖案化,藉此形成具有一傾斜側壁的一中間電極於該中間電極的一末端,其中該中間電極的該傾斜側壁位於該底電極正上方;沉積一第三導電層於該中間電極之上;將該第三導電層圖案化,藉此形成具有一垂直側壁的一頂電極;以及形成一導孔部件連接該底電極和該頂電極且具有一側壁,其中該側壁在該底電極和該頂電極之間的斜率與在該頂電極上方的斜率不同。
- 一種半導體裝置的製造方法,包括:提供一半導體基板;形成一金屬-絕緣體-金屬電容於該半導體基板之上,該金屬-絕緣體-金屬電容至少具有一頂電極和一底電極;沉積一介電層於該金屬-絕緣體-金屬電容之上;形成一開口於該介電層中,其中該開口具有與該介電層直接相接的一第一側壁,該第一側壁相對於該半導體基板的一頂表面形成一第一角度;向下延伸該開口,其中該開口具有與該金屬-絕緣體-金屬直接相接的一第二側壁,該第二側壁相對於該半導體基板的該頂表面形成一第二角度,該第二角度小於該第一角度,由該第二側壁的一部分暴露出之該底電極的最底部形成該第二角度;以及在該開口中形成一導孔部件,該導孔部件具有一側壁,其中該側壁在該底電極和該頂電極之間的斜率與在該頂電極上方的斜率不同。
- 一種半導體裝置,包括:一基板;一絕緣層,設置於該基板上;一第一導電部件,設置於該絕緣層中; 一電容器結構,設置於該絕緣層上且包括依序堆疊的一第一電極、一第一介電層、一第二電極、一第二介電層和一第三電極;一第一導孔,連接該第一電極和該第三電極,該第一導孔的一部分設置於該絕緣層中;一第二導孔,連接該第二電極;以及一第三導孔,連接該第一導電部件,其中該第一導電部件的一部分位於該電容器結構正下方。
- 一種半導體裝置,包括:一基板;一第一絕緣層,設置於該基板上;一第一導電部件、一第二導電部件和一第三導電部件,設置於該第一絕緣層中;一電容器結構,設置於該第一絕緣層上且包括依序堆疊的一第一電極、一第一介電層、一第二電極、一第二介電層和一第三電極;一第一導孔,連接該第一電極、該第三電極和該第三導電部件;一第二導孔,連接該第二電極和該第二導電部件;一第三導孔,連接該第一導電部件;以及一第二絕緣層,設置於該電容器結構之上,其中該第一導孔、該第二導孔和該第三導孔中的每一個穿過該第二絕緣層。
- 一種半導體裝置,包括:一基板;一底電極,設置於該基板的一頂表面上方,其中該底電極的一末端相對於該基板的該頂表面具有一傾斜側壁;一頂電極,設置於該底電極上方; 一中間電極,介於該底電極和該頂電極之間;一第一絕緣層,介於該底電極和該中間電極之間;以及一第二絕緣層,介於該中間電極和該頂電極之間,其中該第一絕緣層接觸該第二絕緣層。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862711711P | 2018-07-30 | 2018-07-30 | |
US62/711,711 | 2018-07-30 | ||
US16/156,779 US10734474B2 (en) | 2018-07-30 | 2018-10-10 | Metal-insulator-metal structure and methods of fabrication thereof |
US16/156,779 | 2018-10-10 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202008601A TW202008601A (zh) | 2020-02-16 |
TWI814860B true TWI814860B (zh) | 2023-09-11 |
Family
ID=69178705
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108124069A TWI814860B (zh) | 2018-07-30 | 2019-07-09 | 金屬-絕緣體-金屬電容器結構、半導體裝置及其製造方法 |
Country Status (3)
Country | Link |
---|---|
US (4) | US10734474B2 (zh) |
CN (1) | CN110783320A (zh) |
TW (1) | TWI814860B (zh) |
Families Citing this family (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20200091192A (ko) * | 2019-01-22 | 2020-07-30 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
KR20200128315A (ko) * | 2019-05-03 | 2020-11-12 | 삼성전자주식회사 | 반도체 소자 |
US11469295B1 (en) * | 2019-07-29 | 2022-10-11 | Marvell Asia Pte Ltd | Decoupling capacitor integrated in system on chip (SOC) device |
CN112542485A (zh) * | 2019-09-23 | 2021-03-23 | 台湾积体电路制造股份有限公司 | 显示设备与其制作方法 |
US11101213B2 (en) * | 2020-01-28 | 2021-08-24 | International Business Machines Corporation | EFuse structure with multiple links |
US11145592B2 (en) * | 2020-02-11 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process for forming metal-insulator-metal structures |
US11114373B1 (en) | 2020-02-26 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-insulator-metal structure |
US11264389B2 (en) * | 2020-06-03 | 2022-03-01 | Nanya Technology Corporation | Stack capacitor structure and method for forming the same |
US11764143B2 (en) * | 2020-06-12 | 2023-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Increasing contact areas of contacts for MIM capacitors |
US11848352B2 (en) | 2021-02-22 | 2023-12-19 | Taiwan Semiconductor Manufacturing Company Limited | Metal-insulator-metal capacitors and methods of forming the same |
US11961880B2 (en) | 2021-05-06 | 2024-04-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal-insulator-metal structure |
US20230029763A1 (en) * | 2021-07-30 | 2023-02-02 | Cree, Inc. | Interconnect metal openings through dielectric films |
US20230154843A1 (en) * | 2021-11-17 | 2023-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Device with Integrated Metal-Insulator-Metal Capacitors |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100044831A1 (en) * | 2008-08-22 | 2010-02-25 | Stmicroelectronics (Tours) Sas | Multi-layer film capacitor with tapered film sidewalls |
US20100224960A1 (en) * | 2009-03-04 | 2010-09-09 | Kevin John Fischer | Embedded capacitor device and methods of fabrication |
US20120001243A1 (en) * | 2010-07-02 | 2012-01-05 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device |
US20140159200A1 (en) * | 2012-12-08 | 2014-06-12 | Alvin Leng Sun Loke | High-density stacked planar metal-insulator-metal capacitor structure and method for manufacturing same |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6642564B2 (en) * | 2001-07-18 | 2003-11-04 | Matsushita Electric Industrial Co., Ltd. | Semiconductor memory and method for fabricating the same |
US8153527B2 (en) * | 2008-10-13 | 2012-04-10 | Globalfoundries Singapore Pte. Ltd. | Method for reducing sidewall etch residue |
US8649153B2 (en) * | 2011-04-28 | 2014-02-11 | International Business Machines Corporation | Tapered via and MIM capacitor |
US8816444B2 (en) | 2011-04-29 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
US9236267B2 (en) | 2012-02-09 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US8860148B2 (en) | 2012-04-11 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET integrated with capacitor |
US8823065B2 (en) | 2012-11-08 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8772109B2 (en) | 2012-10-24 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for forming semiconductor contacts |
US9236300B2 (en) | 2012-11-30 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs in SRAM cells and the method of forming the same |
US9136106B2 (en) | 2013-12-19 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US9478602B2 (en) * | 2014-10-07 | 2016-10-25 | Globalfoundries Inc. | Method of forming an embedded metal-insulator-metal (MIM) capacitor |
US9466661B2 (en) * | 2014-10-10 | 2016-10-11 | Globalfoundries Inc. | Method of fabricating a MIM capacitor with minimal voltage coefficient and a decoupling MIM capacitor and analog/RF MIM capacitor on the same chip with high-K dielectrics |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US10658455B2 (en) * | 2017-09-28 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal insulator metal capacitor structure having high capacitance |
US10741488B2 (en) * | 2017-09-29 | 2020-08-11 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device with integrated capacitor and manufacturing method thereof |
US10290701B1 (en) * | 2018-03-28 | 2019-05-14 | Taiwan Semiconductor Manufacturing Company Ltd. | MIM capacitor, semiconductor structure including MIM capacitors and method for manufacturing the same |
US10825894B2 (en) * | 2018-03-29 | 2020-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | MIM capacitor and method of manufacturing the same |
-
2018
- 2018-10-10 US US16/156,779 patent/US10734474B2/en active Active
-
2019
- 2019-06-04 CN CN201910481900.5A patent/CN110783320A/zh active Pending
- 2019-07-09 TW TW108124069A patent/TWI814860B/zh active
-
2020
- 2020-08-03 US US16/983,880 patent/US11342408B2/en active Active
-
2022
- 2022-05-23 US US17/750,729 patent/US11923405B2/en active Active
-
2024
- 2024-03-04 US US18/594,864 patent/US20240213305A1/en active Pending
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100044831A1 (en) * | 2008-08-22 | 2010-02-25 | Stmicroelectronics (Tours) Sas | Multi-layer film capacitor with tapered film sidewalls |
US20100224960A1 (en) * | 2009-03-04 | 2010-09-09 | Kevin John Fischer | Embedded capacitor device and methods of fabrication |
US20120001243A1 (en) * | 2010-07-02 | 2012-01-05 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device |
US20140159200A1 (en) * | 2012-12-08 | 2014-06-12 | Alvin Leng Sun Loke | High-density stacked planar metal-insulator-metal capacitor structure and method for manufacturing same |
Also Published As
Publication number | Publication date |
---|---|
US20200035779A1 (en) | 2020-01-30 |
CN110783320A (zh) | 2020-02-11 |
US20240213305A1 (en) | 2024-06-27 |
US20200365683A1 (en) | 2020-11-19 |
TW202008601A (zh) | 2020-02-16 |
US10734474B2 (en) | 2020-08-04 |
US11923405B2 (en) | 2024-03-05 |
US11342408B2 (en) | 2022-05-24 |
US20220285479A1 (en) | 2022-09-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI814860B (zh) | 金屬-絕緣體-金屬電容器結構、半導體裝置及其製造方法 | |
US10985054B2 (en) | Interconnect structure and method of forming the same | |
US20210143101A1 (en) | Semiconductor device and manufacturing method thereof | |
US8987086B2 (en) | MIM capacitor with lower electrode extending through a conductive layer to an STI | |
US11682580B2 (en) | Interconnect structure and method of forming the same | |
US20120091519A1 (en) | Method and apparatus for improving capacitor capacitance and compatibility | |
US8445991B2 (en) | Semiconductor device with MIM capacitor and method for manufacturing the same | |
US9685497B2 (en) | Embedded metal-insulator-metal capacitor | |
US11257844B2 (en) | Ferroelectric random access memory (FRAM) cell | |
TW202243058A (zh) | 積體晶片 | |
US10600568B2 (en) | Capacitor and method of fabricating the same | |
KR102685443B1 (ko) | 자가 정렬 스페이서를 갖는 고용량 mim 디바이스 | |
TWI823534B (zh) | 積體晶片結構及其形成方法 | |
TWI769503B (zh) | 電容裝置及電容結構與其形成方法 |