TW202234560A - 處理系統及處理方法 - Google Patents

處理系統及處理方法 Download PDF

Info

Publication number
TW202234560A
TW202234560A TW110137693A TW110137693A TW202234560A TW 202234560 A TW202234560 A TW 202234560A TW 110137693 A TW110137693 A TW 110137693A TW 110137693 A TW110137693 A TW 110137693A TW 202234560 A TW202234560 A TW 202234560A
Authority
TW
Taiwan
Prior art keywords
processing
wafer
electrostatic chuck
processing chamber
edge ring
Prior art date
Application number
TW110137693A
Other languages
English (en)
Inventor
網倉紀彦
三枝慎
廣瀬潤
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202234560A publication Critical patent/TW202234560A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J19/00Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
    • B25J19/02Sensing devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本發明之課題係使用設於搬運叉之感測器,測定電漿處理腔室之內部狀態,依據該測定結果,適當地進行基板之處理。 本發明係在減壓環境下施行基板之處理之系統,具有對基板施行所期之處理的處理腔室、具有進行將該基板對該處理腔室搬入搬出之搬運機構的搬運腔室、及控制該處理腔室之處理程序的控制部;該搬運機構具有將該基板固持於頂面來搬運之叉部、及設於該叉部,測定該處理腔室之內部狀態的測定機構;該控制部依據以該測定機構取得之該處理腔室的內部狀態,控制該處理腔室之處理程序。

Description

處理系統及處理方法
本發明係有關於基板之處理系統及處理方法。
於專利文獻1揭示了具有可監測沉積於蝕刻腔室之內部的反應產物之膜厚及膜質的光線分光監測體之蝕刻裝置。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利公開公報2000-003905號
[發明欲解決之課題]
本發明之技術係使用設於搬運叉之感測器,測定電漿處理腔室之內部狀態,依據該測定結果,適當地進行基板之處理。 [解決課題之手段]
本發明之一態樣係在減壓環境下施行基板之處理之系統,具有對基板施行所期之處理的處理腔室、具有進行將該基板對該處理腔室搬入搬出之搬運機構的搬運腔室、及控制該處理腔室之處理程序的控制部;該搬運機構具有將該基板固持於頂面來搬運之叉部、及設於該叉部,測定該處理腔室之內部狀態的測定機構;該控制部依據以該測定機構取得之該處理腔室的內部狀態,控制該處理腔室之處理程序。 [發明之效果]
根據本發明,使用設於搬運叉之感測器,測定電漿處理腔室之內部狀態,依據該測定結果,適當地進行基板之處理。
[用以實施發明之形態]
在半導體元件之製造程序,對半導體晶圓(以下僅稱為「晶圓」。)供應處理氣體,而對該晶圓進行蝕刻處理、成膜處理、擴散處理等各種電漿處理。此等電漿處理一般在可將內部調整成減壓氣體環境之處理腔室的內部進行。
另外,在此電漿處理,對連續處理之複數的晶圓分別要求均一之處理結果。然而,由於隨著反覆進行對複數之晶圓的電漿處理,處理腔室內之環境因處理腔室內之構件的消耗或反應副產物之附著而變化,故即使以同樣之條件進行處理,亦有無法獲得均一的處理結果之虞。因此,為了在電漿處理獲得均一之處理結果,而考慮設用以掌握處理腔室之內部狀態的感測器等構件,按處理腔室之內部環境,進行處理條件之變更或內部環境之改善(清潔或構件更換)等。
於上述專利文獻1揭示了設置有用以監測沉積於處理腔室(蝕刻腔室)之內部的反應產物之膜厚及膜質的光線分光監測體之電漿處理裝置(蝕刻裝置)。根據記載於專利文獻1之蝕刻裝置,從設於處理腔室之外部的光線分光監測體,朝設於處理腔室之內部的二片反射鏡照射紅外線光。
然而,當如安裝於專利文獻1之蝕刻腔室的反射鏡般,於處理腔室之內部設感測器等構件時,因該構件曝露於電漿處理空間,而有此等構件惡化、破損之虞。
又,於處理腔室之內部設感測器等時,有因與設於腔室內部之構造物的位置關係而不易設感測器等構件之情形。再者,為了分別掌握處理腔室內之各種環境(例如反應副產物、電位或溫度等),需安裝複數之感測器等,此時,有更不易安裝感測器等之虞。如此,以往之電漿處理裝置從適當地掌握處理腔室之內部環境的觀點而言,有改善之餘地。
本發明之技術係鑑於上述情況而作成,使用設於搬運叉之感測器,測定電漿處理腔室之內部狀態,依據該測定結果,適當地進行基板之處理。以下,就本實施形態之電漿處理系統,一面參照圖式,一面說明。此外,在本說明書及圖式,藉在具有實質上相同之功能結構的要件附上同一符號,而省略重複說明。
<電漿處理系統> 首先,就本實施形態之電漿處理系統作說明。圖1係顯示本實施形態之電漿處理系統1的結構之概略的平面圖。在電漿處理系統1,對作為基板之晶圓W進行例如蝕刻處理、成膜處理、擴散處理等電漿處理。
如圖1所示,電漿處理系統1具有大氣部10及減壓部11藉由裝載鎖定模組20、21連接成一體之結構。大氣部10具有在大氣壓氣體環境下對晶圓W進行所期之處理的大氣模組。減壓部11具有在減壓氣體環境下對晶圓W進行所期之處理的減壓模組。
裝載鎖定模組20、21設成分別藉由閘閥22、23,連結大氣部10之後述載入模組30及減壓部11之後述輸送模組50。裝載鎖定模組20、21構造成暫時固持晶圓W。又,裝載鎖定模組20、21構造成將內部切換成大氣壓氣體環境與減壓氣體環境(真空狀態)。
大氣部10具有具後述晶圓搬運機構40之載入模組30、載置可保管複數之晶圓W的環31之裝載埠32。此外,調節晶圓W之水平方向的方位之定向模組(圖中未示)及儲存複數之晶圓W的儲存模組(圖中未示)等亦可相鄰設於載入模組30。
載入模組30之內部由矩形殼體構成,殼體之內部維持在大氣壓氣體環境。於構成載入模組30之殼體的長邊之一側面並排設置有複數個、例如五個裝載埠32。於構成載入模組30之殼體的長邊之另一側面並排設置有裝載鎖定模組20、21。
於載入模組30之內部設有搬運晶圓W之晶圓搬運機構40。晶圓搬運機構40具有將晶圓W固持來移動之搬運臂41、將搬運臂41支撐成可旋轉之旋轉台42、搭載有旋轉台42之旋轉載置台43。又,於載入模組30之內部設有於載入模組30之長向延伸的引導軌道44。旋轉載置台43設於引導軌道44上,晶圓搬運機構40構造成可沿著引導軌道44移動。
減壓部11具有在內部搬運晶圓W之輸送模組50、對從輸送模組50搬運之晶圓W進行所期之處理的處理模組60。輸送模組50及處理模組60之內部分別維持在減壓氣體環境。此外,在本實施形態,對一個輸送模組50連接有複數個、例如八個處理模組60。此外,處理模組60之數量及配置不限本實施形態,可任意設定。
輸送模組50內部由多角形(在圖示之例為五角形)殼體構成,如上述,其連接於裝載鎖定模組20、21。輸送模組50將搬入至裝載鎖定模組20之晶圓W搬運至一個處理模組60,施行過所期之處理後,藉由裝載鎖定模組21,搬出至大氣部10。
作為處理腔室之處理模組60進行例如蝕刻處理、成膜處理、擴散處理等電漿處理。在處理模組60可任意地選擇進行按晶圓處理之目的的處理之模組。又,處理模組60藉由閘閥61連接於輸送模組50。此外,此處理模組60之結構後述。
於作為搬運腔室之輸送模組50的內部設有搬運晶圓W之晶圓搬運機構70。晶圓搬運機構70具有固持晶圓W來移動之搬運臂71、將搬運臂71支撐成可旋轉之旋轉台72、搭載有旋轉台72之旋轉載置台73。又,於輸送模組50之內部設有於輸送模組50之長向延伸的引導軌道74。旋轉載置台73設於引導軌道74上,晶圓搬運機構70構造成可沿著引導軌道74移動。
如圖1所示,搬運臂71於前端具有固持晶圓W之叉部71f。又,如圖2所示,於該叉部71f設有測定處理模組60之內部環境的各種測定機構75。測定機構75在例如搬運臂71進入至處理模組60之內部的狀態下,測定該處理模組60之內部環境(例如後述晶圓支撐部110之表面電位及溫度、以及反應產物(沉積物)之附著狀態等)。此外,關於使用測定機構75之處理模組60的內部環境之測定方法的細節後述。
在輸送模組50,以搬運臂71收取固持於裝載鎖定模組20之晶圓W,搬運至任意之處理模組60。又,搬運臂71固持經處理模組60施行過所期處理的晶圓W,搬出至裝載鎖定模組21。又,如上述,藉使晶圓搬運機構70之搬運臂71(叉部71f)進入至任意之處理模組60的內部,而以測定機構75測定該處理模組60之內部環境。
再者,電漿處理系統1具有作為控制部之控制裝置80。在一實施形態,控制裝置80處理用以使電漿處理系統1執行在本發明所述之各種製程的電腦可執行之命令。控制裝置80可構造成將電漿處理系統1之其他要件分別控制成執行在此所述之各種製程。在一實施形態,控制裝置80之一部分或全部亦可包含在電漿處理系統1之其他要件。控制裝置80亦可包含例如電腦90。電腦90亦可包含例如處理部(CPU:Central Processing Unit:中央處理單元)91、記憶部92及通信介面93。處理部91可構造成依據儲存於記憶部92之程式,進行各種控制動作。記憶部92亦可包含RAM(Random Access Memory:隨機存取記憶體)、ROM(Read Only Memory:唯讀記憶體)、HDD(Hard Disk Drive:硬式磁碟機)、SSD(Solid State Drive:固態硬碟)、或此等之組合。通信介面93亦可藉由LAN(Local Area Network:區域網路)等通信線路,與電漿處理系統1的其他要件之間通信。
<處理模組> 本實施形態之電漿處理系統1如以上構成。接著,就上述處理模組60之詳細結構作說明。圖3係顯示處理模組60之結構的概略之縱截面圖。
如圖3所示,處理模組60包含腔室100、晶圓支撐部110、上部電極噴灑頭120、氣體供應部130、RF(Radio Frequency:射頻)電力供應部140、電磁鐵150、及排氣系統160。
腔室100在其內部劃分產生電漿之處理空間S。腔室100由例如鋁構成。腔室100連接於接地電位。
在腔室100之內部,於處理空間S之下部區域收容有支撐晶圓W之晶圓支撐部110。晶圓支撐部110具有下部電極111、靜電吸盤112、及邊緣環113。
下部電極111以導電性金屬、例如鋁等構成,呈大約圓板形狀。於下部電極111之內部形成有冷媒流路(圖中未示)。再者,藉使來自設於腔室100之外部的冷卻單元(圖中未示)之冷媒、例如冷卻水等於該冷媒流路循環,可將靜電吸盤112、邊緣環113及晶圓W冷卻成所期溫度。
靜電吸盤112設於下部電極111上。靜電吸盤112係構造成可以靜電力吸附固持晶圓W與邊緣環113兩者之構件。靜電吸盤112形成為中央部之頂面比周緣部之頂面高。靜電吸盤112之中央部的頂面作為載置晶圓W之晶圓載置面,靜電吸盤112之周緣部的頂面作為載置邊緣環113之邊緣環載置面。
於靜電吸盤112之中央部的內部設有用以吸附固持晶圓W之第1電極114a。又,於靜電吸盤112之周緣部的內部設有用以吸附固持邊緣環113之第2電極114b。靜電吸盤112具有將第1電極114a及第2電極114b夾在由絕緣材料構成的絕緣材之間的結構。
對第1電極114a施加來自直流電源(圖中未示)之直流電壓。以藉此而產生之靜電力,將晶圓W吸附固持於靜電吸盤112之中央部的頂面。同樣地,對第2電極114b施加來自直流電源(圖中未示)之直流電壓。以藉此而產生之靜電力,將邊緣環113吸附固持於靜電吸盤112之周緣部的頂面。
此外,可任意選擇第1電極114a及第2電極114b之結構,可為例如單極型,亦可為雙極型。又,在本實施形態,設第1電極114a之靜電吸盤112的中央部與設第2電極114b之周緣部形成一體,此等中央部與周緣部亦可為分開之結構。
又,於第1電極114a及第2電極114b之下方分別設有加熱元件亦即第1加熱器115a及第2加熱器115b。於第1加熱器115a及第2加熱器115b連接圖中未示之加熱器電源,藉以該加熱器電源施加電壓,而將晶圓支撐部110及載置於晶圓支撐部110之晶圓W、邊緣環113加溫成所期之溫度。
又,在本實施形態,如圖3所示,複數之第1加熱器115a於靜電吸盤112之內部延伸而設。複數之第1加熱器115a構造成可分別獨立控制,且構造成可將靜電吸盤112(晶圓W)依複數之溫度調節區域各個分別獨立調節溫度。此外,以複數之第1加熱器115a獨立進行溫度調節的溫度調節區域之數量及形狀可任意地決定。
邊緣環113係配置成包圍支撐於靜電吸盤112之中央部的頂面之晶圓W的環狀構件,施加來自直流電源113a之直流電壓。邊緣環113係為使電漿處理之均一性提高而設。因此,邊緣環113由按電漿處理適宜選擇之材料構成,可由例如Si或SiC構成。
直流電源113a係對邊緣環113施加電漿控制用負極性直流電壓之電源。直流電源113a係可變直流電源,可調整直流電壓之高低。又,直流電源113a構造成可將對邊緣環113施加之電壓波形在脈衝波與連續波(CW:Continuous Wave:連續波)切換。
又,於晶圓支撐部110之下部電極111的下方設有第1升降銷116及第2升降銷117。
第1升降銷116插通從靜電吸盤112之中央部的頂面至下部電極111之底面的貫穿孔而設。第1升降銷116由例如陶瓷形成。第1升降銷116沿著靜電吸盤112之周向,相互隔開間隔而設有三根以上。又,第1升降銷116構造成藉具有圖中未示之驅動部的升降機構116a之動作,前端部從靜電吸盤112之中央部的頂面自由突出没入,藉此,構造成使支撐於靜電吸盤112之中央部的頂面之晶圓W升降自如。
第2升降銷117插通從靜電吸盤112之周緣部的頂面至下部電極111之底面的貫穿孔而設。第2升降銷117由例如氧化鋁、石英或SUS等形成。第2升降銷117沿著靜電吸盤112之周向,相互隔開間隔而設有三根以上。又,第2升降銷117構造成藉具有圖中未示之驅動部的升降機構117a之動作,前端部從靜電吸盤112之周緣部的頂面自由突出沒入,藉此,構造成使支撐於靜電吸盤112之周緣部的頂面之邊緣環113升降自如。
又,於晶圓支撐部110形成有用以對支撐於靜電吸盤112之頂面的晶圓W之背面供應氦氣等傳熱氣體(背面氣體)之氣體流路(圖中未示)。於氣體流路連接有氣體供應源(圖中未示),藉來自該氣體供應源之傳熱氣體,可將支撐於靜電吸盤112之晶圓W控制成所期之溫度。
上部電極噴灑頭120於晶圓支撐部110之上方設成與該晶圓支撐部110對向,可具有腔室100之頂部(ceiling)的一部分之功能。上部電極噴灑頭120構造成對處理空間S供應來自氣體供應部130之一種或一種以上的處理氣體。在一實施形態,上部電極噴灑頭120具有氣體入口120a、氣體擴散室120b、及複數之氣體出口120c。氣體入口120a與氣體供應部130及氣體擴散室120b連通流體。複數之氣體出口120c與氣體擴散室120b及處理空間S連通流體。在一實施形態,上部電極噴灑頭120構造成從氣體入口120a經由氣體擴散室120b及複數之氣體出口120c,對處理空間S供應一種或一種以上之處理氣體。
氣體供應部130亦可包含一個或一個以上之氣體源131及一個或一個以上之流量控制器132。在一實施形態,氣體供應部130構造成將一種或一種以上之處理氣體從各自對應之氣體源131經由各自對應之流量控制器132,對氣體入口120a供應。各流量控制器132亦可包含例如質量流量控制器或壓力控制式流量控制器。再者,氣體供應部130亦可包含將一種或一種以之處理氣體的流量調變或脈衝化之一個或一個以上的流量調變元件。
RF電力供應部140構造成對如下部電極111、上部電極噴灑頭120、或者下部電極111及上部電極噴灑頭120兩者這樣的一個或一個以上之電極供應RF電力、例如一個或一個以上之RF信號。藉此,可從對處理空間S供應之一種或一種以上的處理氣體產生電漿。因而,RF電力供應部140可具有構造成在腔室100從一種或一種以上之處理氣體產生電漿的電漿產生部之至少一部分的功能。在一實施形態,RF電力供應部140包含二個RF產生部141a、141b及二個匹配電路142a、142b。在一實施形態,RF電力供應部140構造成將第1RF信號從第1RF產生部141a經由第1匹配電路142a,對下部電極111供應。舉例而言,第1RF信號亦可具有27MHz~100MHz之範圍內的頻率。
又,在一實施形態,RF電力供應部140構造成將第2RF信號從第2RF產生部141b經由第2匹配電路142b,對下部電極111供應。舉例而言,第2RF信號亦可具有400kHz~13.56MHz之範圍內的頻率。亦可替代地使用DC(Direct Current:直流)脈衝產生部取代第2RF產生部141b。
再者,雖省略圖示,在本發明,可考慮其他實施形態。舉例而言,在替代實施形態,RF電力供應部140亦可構造成從RF產生部對下部電極111供應第1RF信號,從另一RF產生部對下部電極111供應第2RF信號,從又另一RF產生部對下部電極111供應第3RF信號。此外,在另一代替實施形態,亦可對上部電極噴灑頭120施加DC電壓。
再者,在各種實施形態,亦可將一個或一個以上之RF信號(即,第1RF信號、第2RF信號等)之振幅脈衝化或調變。振幅調變亦可包含在開狀態與關狀態之間或者二個或二個以上之不同的開狀態之間將RF信號振幅脈衝化之動作。
於上部電極噴灑頭120之上部設有電磁鐵150。電磁鐵150具有芯構件151、複數之線圈152、及與線圈152電性連接之激發用電路153。又,在電磁鐵150,藉對至少一個線圈152供應來自激發用電路153之電流,可使用以將形成於處理空間S之內部的電漿控制成均一之磁場產生。
排氣系統160可連接於設於例如腔室100之底部的排氣口100e。排氣系統160亦可包含壓力閥及真空泵。真空泵亦可包含渦輪分子泵、粗抽泵或此等之組合。
以上,說明了各種例示之實施形態,亦可不限於上述例示之實施形態,而進行各種追加、省略、置換及變更。又,可組合不同之實施形態的要件來形成其他實施形態。
舉例而言,在上述實施形態,對邊緣環113獨立地連接直流電源113a,如圖4所示,亦可藉由下部電極111,於邊緣環113連接直流電源113a。又,舉例而言,亦可將連接於下部電極111之RF電力供應部140分歧來取代直流電源113a而連接於邊緣環113。
<晶圓處理方法> 本實施形態之處理模組60如以上構成。接著,就使用電漿處理系統1及處理模組60而進行之晶圓處理作說明。
首先,將收納有複數之晶圓W的環31載置於裝載埠32,以晶圓搬運機構40從環31取出晶圓W。接著,開放裝載鎖定模組20之閘閥22,以晶圓搬運機構40將晶圓W搬入至裝載鎖定模組20。
在裝載鎖定模組20,關閉閘閥22,而將裝載鎖定模組20內密閉後,將該裝載鎖定模組20之內部減壓至所期之真空度。當將裝載鎖定模組20之內部減壓時,接著開放閘閥23,而使裝載鎖定模組20之內部與輸送模組50之內部連通。
當開放閘閥23時,以晶圓搬運機構70將裝載鎖定模組20內之晶圓W搬運至輸送模組50,關閉閘閥23。接著,開放一個處理模組60之閘閥61,以晶圓搬運機構70將晶圓W搬入至處理模組60。當將晶圓W搬入至處理模組60時,關閉閘閥61而將處理模組60之腔室100密閉。
在處理模組60,首先,藉第1升降銷116之升降,將晶圓W載置於靜電吸盤112上。之後,藉對靜電吸盤112之電極施加直流電壓,而以靜電力將晶圓W靜電吸附於靜電吸盤112而固持。又,搬入晶圓W後,以排氣系統160將腔室100之內部減壓至所期之真空度。
接著,從氣體供應部130經由上部電極噴灑頭120,對處理空間S供應處理氣體。又,以RF電力供應部140對下部電極111供應電漿產生用射頻電力HF,使處理氣體激發而產生電漿。此時,亦可以RF電力供應部140供應離子引入用射頻電力LF。又,此時,對電磁鐵150之線圈152供應電流而使處理空間S之內部產生磁場,將形成於處理空間S之內部的電漿控制成均一。然後,藉產生之電漿的作用,對晶圓W施行所期之處理。
此外,在電漿處理當中,以溫度調節模組(第1加熱器115a、第2加熱器115b及在冷媒流路循環之冷媒)調整吸附固持於靜電吸盤112之晶圓W及邊緣環113的溫度。此時,為使熱以良好效率傳達至晶圓W,而朝吸附於靜電吸盤112之頂面的晶圓W之背面(固持面)供應He氣體或Ar氣體等傳熱氣體。
結束電漿處理之際,首先,停止來自RF電力供應部140之射頻電力HF的供應及以氣體供應部130所行之處理氣體的供應。又,當在電漿處理當中,供應射頻電力LF時,亦停止該射頻電力LF之供應。再者,亦停止對電磁鐵150之線圈152的電流之供應。接著,停止對晶圓W之背面的傳熱氣體之供應,而停止以靜電吸盤112所行之晶圓W的吸附固持。
之後,以第1升降銷116使晶圓W上升,而使晶圓W從靜電吸盤112脫離。此脫離之際,亦可進行晶圓W之電中和處理。然後接著開放閘閥61,以晶圓搬運機構70從處理模組60搬出晶圓W。當從處理模組60搬出晶圓W時,關閉閘閥61。
接著,開放裝載鎖定模組21之閘閥23,以晶圓搬運機構70將晶圓W搬入至裝載鎖定模組21。在裝載鎖定模組21,關閉閘閥23而將裝載鎖定模組21內密閉後,將該裝載鎖定模組21之內部開放成大氣。當將裝載鎖定模組21之內部開放成大氣時,接著開放閘閥22,使裝載鎖定模組21之內部與載入模組30之內部連通。
當開放閘閥22時,以晶圓搬運機構40將裝載鎖定模組21內之晶圓W搬運至載入模組30,關閉閘閥22。之後,以晶圓搬運機構40將晶圓W送回至載置於裝載埠32之環31來收容。然後,對收納於環31之複數的晶圓W連續進行同樣之處理,當對所有晶圓W之處理完畢時,電漿處理系統1之一連串的晶圓處理便結束。
此外,在電漿處理系統1之晶圓處理,亦可於對處理模組60之晶圓W的電漿處理之前,適宜進行用以去除附著於該處理模組60之腔室100內部的反應產物(沉積物)之乾式清潔處理。即,亦可於下個晶圓W的電漿處理開始之前,去除因一個晶圓W之電漿處理而產生、附著之沉積物。藉此,可抑制因電漿處理之際的該沉積物剝離、落下引起的對下個晶圓W之附著,而可適當地進行對下個晶圓W之電漿處理。
在此,在使用處理模組60進行的電漿處理之際,要求對連續處理之複數的晶圓W之處理結果均一,即,作為製品之半導體元件的品質均一。然而,當如上述,在一個處理模組60連續進行電漿處理時,因構件之消耗或反應副產物(沉積物)的附著等,腔室100之內部環境變化,因此,有對複數之晶圓W無法獲得均一的處理結果之虞。
是故,在本實施形態之電漿處理系統1,如上述,於對處理模組60之晶圓W的搬入搬出之際進入至該處理模組60之內部的搬運臂71設測定機構75。又,以該測定機構75測定處理模組60之腔室100的內部環境,將該測定結果反饋至晶圓W之處理程序。
具體而言,如圖5所示,使晶圓搬運機構70之搬運臂71進入至腔室100之內部,在此狀態下,以安裝於該搬運臂71之叉部71f的測定機構75測定腔室100之內部環境。此外,可任意地決定測定機構75之腔室100的內部環境之測定時間點,舉例而言,如上述,可於對處理模組60之晶圓W的搬入搬出時進行測定,亦可與晶圓W之搬入搬出獨立地進行測定。換言之,可在晶圓W固持於搬運臂71上之狀態下,測定腔室100之內部環境,亦可在晶圓W未固持於搬運臂71上之狀態下測定腔室100之內部環境。
<內部環境之測定及反饋控制方法> 以下,就以測定機構75測定之腔室100的「內部環境」及依據該測定結果進行之反饋控制方法的一例進行說明。此外,在以下之說明中,有將在處理模組60連續處理之晶圓W中先施行電漿處理之晶圓W僅稱為「前面的晶圓W」,將在前面的晶圓W之後進行處理的晶圓W稱為「後面的晶圓W」之情形。
(1)靜電吸盤112之表面電位 吸附固持後面的晶圓W之際的靜電吸盤112之表面電位因例如前面的晶圓W的電漿處理之際的殘留電荷等之影響,有從吸附固持該前面的晶圓W之際的表面電位產生變化之情形。如此吸附固持之際的表面電位不同時,靜電吸盤112之前面的晶圓W與後面的晶圓W之吸附力變化。然後結果,電漿處理之際從靜電吸盤112對晶圓W之傳熱量變化,即,電漿處理當中之晶圓W的溫度變化,而有前面的晶圓W與後面的晶圓W之電漿處理結果不一樣之虞。
是故,在本實施形態,亦可於與靜電吸盤112之對向面亦即叉部71f的底面採用用以檢測靜電吸盤112之表面電位的電位感測器作為測定機構75。此時,可將從直流電源(圖中未示)對第1電極114a之直流電壓的施加量控制成吸附固持前面的晶圓W與後面的晶圓W之際的表面電位一定。
具體而言,在對例如處理模組60之晶圓W的搬入時,於以靜電吸盤112所行之晶圓W的吸附固持之前,以測定機構75(電位感測器)測定靜電吸盤112之表面電位。然後,將測定之表面電位與作為預先訂定之基準的表面電位之差分值反映至靜電吸盤112之吸附電位,將吸附前面的晶圓W與後面的晶圓W之際的表面電位控制為一定。
此外,上述「作為基準之表面電位」可使用例如前面的晶圓W搬入時之測定結果,亦可使用例如處理模組60的設置等之際任意設定的值。
此外,在以上之說明中,以依據測定機構75(電位感測器)之測定結果,控制來自直流電源(圖中未示)之直流電壓的施加量之情形為例,進行了說明,表面電位之控制方法並不限於此。舉例而言,如圖6所示,亦可設用以朝靜電吸盤112之頂面供應離子化之氣體的靜電消除器200,依據測定機構75(電位感測器)之測定結果,將靜電吸盤112之頂面電中和。
(2)靜電吸盤112之表面溫度 吸附固持後面的晶圓W之際的靜電吸盤112之表面溫度因例如電漿處理之際的氣體環境溫度之變化或從靜電吸盤112對晶圓W之傳熱量的變化等之影響,有從吸附固持前面的晶圓W之際的表面溫度產生變化之情形。如此吸附固持之際的表面溫度不同時,如上述,有前面的晶圓W與後面的晶圓W之電漿處理結果不一樣之虞。
是故,在本實施形態,亦可於與靜電吸盤112之對向面亦即叉部71f之底面採用用以檢測靜電吸盤112之表面溫度的溫度感測器作為測定機構75。此時,可將從加熱器電源(圖中未示)對第1加熱器115a之電壓的施加量控制成吸附固持前面的晶圓W與後面的晶圓W之際的表面溫度為一定。
具體而言,在對例如處理模組60之晶圓W的搬入時,於以靜電吸盤112所行之晶圓W的吸附固持之前,以測定機構75(溫度感測器)測定靜電吸盤112之表面溫度。然後,將測定之表面溫度與作為預先訂定之基準的表面溫度之差分值反映至加熱器電源(圖中未示)之施加電壓,將吸附前面的晶圓W與後面的晶圓W之際的表面溫度控制為一定。
此外,上述「作為基準之表面溫度」可使用例如前面的晶圓W搬入時之測定結果,亦可使用例如處理模組60的設置等之際任意設定的值。
此外,在本實施形態之處理模組60,如上述,複數之第1加熱器115a延伸設置於靜電吸盤112之內部,而構造成可依任意設定之各溫度調節區域,調整靜電吸盤112之表面溫度。是故,使用溫度感測器作為測定機構75時,宜以該測定機構75(溫度感測器)測定靜電吸盤112之頂面的複數點之表面溫度,依各溫度調節區域,進行控制。此時,可於例如搬運臂71之叉部71f設置複數的測定機構75(溫度感測器),亦可以控制裝置80將搬運臂71之移動動作控制成使例如搬運臂71之叉部71f、更具體為測定機構75在靜電吸盤112之上方任意移動。
此外,在以上之說明中,以依據測定機構75(溫度感測器)之測定結果,控制來自加熱器電源(圖中未示)的電壓之施加量的情形為例,進行了說明,表面溫度之控制方法並不限於此。舉例而言,亦可藉構造成使對處理模組60之晶圓W的程序開始時間為可變,即,使來自加熱器電源之電壓的施加時間變化來取代控制來自加熱器電源之電壓的施加量,而控制第1加熱器115a之溫度。
(3)腔室100之內部的附著沉積物 處理模組60之晶圓W的電漿處理之際,產生反應產物(沉積物),附著於例如腔室100之壁面或晶圓支撐部110等。在此,當過量之沉積物附著在腔室100之內部的狀態下,進行晶圓W之電漿處理時,有該電漿處理之際,附著於腔室100之壁面等的沉積物剝離、飛散之虞。然後結果,剝離、飛散之沉積物附著於處理中之晶圓W,因此,有前面的晶圓W與後面的晶圓W之電漿處理結果不一樣之虞。又,由於電漿處理之際的沉積物之產生量(附著量)及附著位置因該電漿處理之條件(例如處理氣體流量及處理溫度等)而異,故要求適當地探測腔室100之內部的沉積物之附著位置及附著量。
是故,在本實施形態,亦可於叉部71f採用用以探測腔室100之壁面及晶圓支撐部110的攝影機構(例如CCD照相機等)作為測定機構75。此時,可將對後面的晶圓W之電漿處理的條件(例如腔室100之內部壓力、處理氣體流量、或RF信號之功率等)控制成該後面的晶圓W的電漿處理之際附著的沉積物不致剝離、飛散。
具體而言,在從例如處理模組60搬出前面的晶圓W時,以測定機構75(攝影機構)拍攝腔室100之壁面及晶圓支撐部110之表面。然後,依據藉拍攝而得之腔室100的內部之沉積物附著狀態與作為預先訂定之基準的沉積物附著狀態之變化量,將對後面的晶圓W之電漿處理的條件最適當化,而於後面的晶圓W之電漿處理之際,抑制沉積物之剝離及飛散的產生。
此外,上述「作為基準之沉積物附著狀態」可使用例如前面的晶圓W搬出時之拍攝結果,亦可使用例如處理模組60的設置等之際任意決定的狀態。
此外,測定機構75(攝影機構)之拍攝面亦可按例如對晶圓W之電漿處理的條件,適宜決定,而從腔室100之內部的側壁或頂面、或者晶圓支撐部110之頂面或側面等選擇性地拍攝。例如因電漿處理之條件,沉積物易附著之面為已知時,可僅拍攝該沉積物易附著之一面,或者亦可拍攝複數之面。此時,當拍攝腔室100之頂面時,測定機構75(攝影機構)以設於不與固持於搬運臂71上之晶圓W干擾的位置為理想。
又,對叉部71f之測定機構75(攝影機構)的設置數也並非特別限定,亦可設置複數之測定機構75(攝影機構),一個測定機構75(攝影機構)亦可構造成可拍攝腔室100內之複數的面。
此外,在以上之說明,按從基準之附著狀態的變化量,使對後面的晶圓W之電漿處理條件變化,例如腔室100之內部的沉積物之附著量多時,亦可控制成於對後面的晶圓W之電漿處理前,進行乾式清潔處理,即,沉積物之去除處理。又,此時,亦可按沉積物之附著量,進行乾式清潔處理之條件(例如清潔氣體之流量及清潔時間等)的調整。
此外,在以上之說明,以從處理模組60搬出前面的晶圓W時,拍攝腔室100之內部的情形為例,進行了說明。亦可與晶圓W之搬出獨立地使搬運臂71進入至腔室100之內部,進行沉積物之拍攝。
(4)邊緣環113之高度位置 設於腔室100之內部的邊緣環113係因電漿處理而消耗之消耗零件,有隨著反覆進行對複數之晶圓W的電漿處理,該邊緣環113的頂面高度位置漸低之情形。如此,當邊緣環113之頂面高度位置變化時,在電漿處理之際,形成於處理空間S之內部的鞘層端之位置變化,結果,有前面的晶圓W與後面的晶圓W之電漿處理結果不一樣之虞。
是故,在本實施形態,亦可於與邊緣環113之頂面的對向面亦即叉部71f之底面採用用以探測邊緣環113之頂面高度位置的距離感測器作為測定機構75。此時,可將第2升降銷117之升降控制成前面的晶圓W與後面的晶圓W之電漿處理時的邊緣環113之頂面高度位置為一定。換言之,控制成藉使第2升降銷117驅動,而調整邊緣環113之高度位置,藉此,電漿處理時之鞘層端位置不致產生變化。
具體而言,在對例如處理模組60之晶圓W的搬入時,以測定機構75(距離感測器),測定邊緣環113之頂面高度位置。然後,在對該晶圓W的電漿處理之前,依據測定之頂面高度位置與作為預先訂定之基準的頂面高度位置之差分值,使第2升降銷117升降,而將前面的晶圓W與後面的晶圓W之電漿處理之際的邊緣環113之頂面高度位置控制為一定。
此外,亦可將邊緣環113之總和消耗量,即,第2升降銷117之總和升降量記錄於控制裝置80,當此總和消耗量(總和升降量)達到預先訂定之閾值時,將邊緣環113需更換之主旨通知操作員。
此外,如此,以測定機構75探測到邊緣環113之頂面高度位置變化時,亦可將藉第2升降銷117之驅動,進行邊緣環113之高度位置的調整這點取而代之地或除此之外地,按邊緣環113之消耗量,控制對邊緣環113之來自直流電源113a的直流電壓之施加量。
具體而言,即使按邊緣環113之消耗,邊緣環113之鞘層高度變低時,藉使對該邊緣環113施加之直流電壓大,可使邊緣環113之鞘層高度高。即,藉此,可控制成電漿處理時之鞘層端位置不致產生變化,而可將前面的晶圓W與後面的晶圓W之電漿處理結果控制成一樣。 以測定機構75(距離感測器)所行之邊緣環113的頂面高度位置之測定亦可對未消耗之邊緣環113進行。即,亦可對剛更換後之邊緣環113進行。邊緣環113之更換可考慮使用搬運臂71及第2升降銷117來進行。此時,由於因搬運中之落下而邊緣環113未戴置於搬運臂71或對第2升降銷117之交接失敗等理由,可能產生邊緣環113未載置於邊緣環載置面之情形。是故,為了確認邊緣環113載置於邊緣環載置面,亦可進行未消耗之邊緣環113的頂面高度位置之測定。 具體而言,使搬運臂71移動成測定機構75(距離感測器)位於邊緣環113之上方,而測定邊緣環113之頂面高度位置。由於邊緣環113未消耗,故可推定頂面高度位置。若邊緣環113之頂面高度位置的測定值與推定值相等,便可判斷為邊緣環113載置於邊緣環載置面。又,若測定值與邊緣環載置面(靜電吸盤112之周緣部的頂面)之高度位置相等,便可判斷為邊緣環113未載置於邊緣環載置面。即,可將測定機構75(距離感測器)用於有無邊緣環113之檢測。
(5)邊緣環113之固持位置 又,作為測定機構75之距離感測器可探測更換後之邊緣環113是否對靜電吸盤112之周緣部適當地固持。
具體而言,一面進行例如測定機構75(距離感測器)的測定,一面在靜電吸盤112之上方使搬運臂71從徑向外側往內側移動,而檢測邊緣環113與靜電吸盤112之中央部的水平方向之間隙。更具體而言,如圖7所示,依據在邊緣環113之頂面高度位置、靜電吸盤112之中央部的高度位置、及此等之空隙(間隙G)測定的高度位置之差異,檢測間隙G之水平方向的長度L。然後,當此間隙G之長度L在周向位置不一定時,便判斷為邊緣環113對靜電吸盤112偏心固持,而再度進行例如邊緣環113之更換動作(對靜電吸盤112之固持動作)。 亦可在維持偏心固持邊緣環113之狀態下,按邊緣環113之偏心位置,調整對處理空間S供應之氣體流量、氣體比率、或第1加熱器115a之溫度等程序條件。舉例而言,亦可將在間隙G之水平方向的長度L大之位置附近的第1加熱器115a與在間隙G之水平方向的長度L小之位置附近的另一第1加熱器115a控制成各為不同之溫度。
此外,在以上之說明中,以作為測定機構75之距離感測器探測邊緣環113之固持位置,邊緣環113之固持位置於例如使用攝影機構(例如CCD照相機)作為測定機構75時,亦可適當地探測。
(6)形成於腔室100之內部的磁場 為於處理空間S之內部均一地產生電漿,以電磁鐵150產生之磁場有因例如電磁鐵150之消耗或沉積物之附著等引起的腔室100之內部的幾何位置關係之變化等影響,磁力分布變化之情形。如此,形成於處理空間S之內部的磁場之磁力分布變化時,產生於處理空間之內部的電漿之均一性惡化,結果,有前面的晶圓W與後面的晶圓W之電漿處理結果不一樣之虞。
是故,在本實施形態,亦可於與處理空間S之對向面亦即叉部17f之頂面採用用以測定形成於處理空間S之內部的磁場之磁力分布的磁性感測器作為測定機構75。此時,可將從激發用電路153對線圈152之電流的供應量控制成將前面的晶圓W與後面的晶圓W進行電漿處理之際的磁場(磁力分布)為一定。
具體而言,在例如處理模組60之內部無晶圓W的狀態(未以搬運臂71固持晶圓W之狀態)下,使處理空間S之內部產生磁場,以測定機構75(磁性感測器)測定產生之磁場的磁力分布。然後,當測定之磁性分布從作為預先訂定之基準的磁性分布(初期分布)有變化時,調整從激發用電路153對線圈152之施加電流。
以上,就各種例示實施形態作了說明,亦可不限於上述例示之實施形態,而進行各種追加、省略、置換及變更。又,可組合不同之實施形態的要件來形成其他實施形態。
<本發明之技術的作用效果> 以上,根據本實施形態之電漿處理系統1,於晶圓搬運機構70之搬運臂71、更具體為搬運臂71之叉部71f設測定機構75。藉此,於以例如該晶圓搬運機構70所行之對處理模組60之晶圓W的搬入搬出之際,可適當地測定腔室100之內部環境。然後,藉依據測定機構75之測定結果,調整(反饋控制)對晶圓W之電漿處理程序,可將在處理模組60連續處理之晶圓W各自的處理結果控制成均一。
又,根據本實施形態,由於用以測定腔室100之內部環境的測定機構75設於在電漿處理時位於該腔室100之外部的搬運臂71,故不致受到該電漿處理之影響。即,由於測定機構75不致因處理模組60之電漿處理而消耗,故可適當地削減伴隨惡化、破損而來之構件的更換所耗費之成本及時間。
此外,如上述,在本實施形態,以對搬運臂71之叉部71f獨立地設作為測定機構75之電位感測器及磁性感測器等的情形為例,進行了說明,當然亦可組合複數種測定機構75來設置於搬運臂71之叉部71f。即,可按在例如處理模組60之內部進行的電漿處理之種類及條件,選擇安裝於叉部71f之一種以上的測定機構75,亦可將例如上述所有種類之測定機構75安裝於叉部71f。
又,舉例而言,當於輸送模組50之內部設複數之搬運臂71時,亦可依複數之該搬運臂71各個,選擇安裝之測定機構75的種類。此時,藉依例如複數之該搬運臂71之各功用,選擇測定機構75之種類,可有效率地進行內部環境之測定、及對電漿處理程序之反饋控制。
具體而言,如圖8所示,有晶圓搬運機構70具有主要進行對處理模組60之晶圓W的搬入之第1搬運臂71a、及主要進行晶圓W從處理模組60之搬出的第2搬運臂71b之情形。此時,藉於例如第1搬運臂71a設電位感測器、溫度感測器及距離感測器,可在對腔室100之晶圓W的搬入時,測定各種內部環境。又,藉於例如第2搬運臂71b設攝影機構,可在晶圓W搬出時,檢測電漿處理後之腔室100的內部之沉積物的附著狀態。
如此,可任意地決定對搬運臂71之叉部71f安裝的測定機構75之數量、種類及其組合。又,當然,測定機構75之種類不限上述電位感測器、溫度感測器、攝影機構、距離感測器及磁性感測器,可按目的,選擇其他不同之種類的測定機構75。
又,在以上之實施形態,以藉測定機構75測定腔室100之內部環境,依據該測定結果,調整電漿處理程序之情形為例,進行了說明,舉例而言,亦可構造成除了腔室100之內部環境的測定,還可測定固持於搬運臂71之晶圓W的狀態。然後,藉依據腔室100之內部環境、及固持之晶圓W的狀態兩者,調整電漿處理程序,可更適當地將處理模組60之晶圓W的處理結果控制成均一。
又,在以上之實施形態,以在對例如處理模組60之晶圓W的搬入搬出時,以測定機構75測定內部環境,依據該測定結果,調整電漿處理程序之情形為例,進行了說明。然而,測定機構75之內部環境的測定時間點並不限於此,亦可於進行例如處理模組60之定期診斷或校準之際,使搬運臂71進入至腔室100之內部來測定內部環境。
此外,在以上之實施形態,以將本發明之技術應用於對晶圓W進行電漿處理之電漿處理系統1的情形為例,進行了說明,本發明之技術不限於此種電漿處理系統1,可應用於任意之系統。即,若為使用具有叉部之晶圓搬運機構,對處理模組搬運晶圓W之系統,藉於該叉部設測定機構,便可適當地將對複數之晶圓W的處理結果控制成均一。又,應用本發明之技術的系統亦並不限如本實施形態所示之在減壓下對晶圓W施行處理之減壓處理系統,亦可為在大氣壓下對晶圓W施行處理之大氣壓系統。
此次揭示之實施形態應視為所有點係例示並非限制。上述實施形態亦可在不脫離附加之申請專利範圍及其主旨下,以各種形態省略、置換、變更。
1:電漿處理系統 10:大氣部 11:減壓部 20:裝載鎖定模組 21:裝載鎖定模組 22:閘閥 23:閘閥 30:載入模組 31:環 32:裝載埠 40:晶圓搬運機構 41:搬運臂 42:旋轉台 43:旋轉載置台 44:引導軌道 50:輸送模組 60:處理模組 61:閘閥 70:晶圓搬運機構 71:搬運臂 71a:第1搬運臂 71b:第2搬運臂 71f:叉部 72:旋轉台 73:旋轉載置台 74:引導軌道 75:測定機構 80:控制裝置 90:電腦 92:記憶部 93:通信介面 100:腔室 100e:排氣口 110:晶圓支撐部 111:下部電極 112:靜電吸盤 113:邊緣環 113a:直流電源 114a:第1電極 114b:第2電極 115a:第1加熱器 115b:第2加熱器 116:第1升降銷 116a:升降機構 117:第2升降銷 117a:升降機構 120:上部電極噴灑頭 120a:氣體入口 120b:氣體擴散室 120c:氣體出口 130:氣體供應部 131:氣體源 132:流量控制器 140:RF電力供應部 141a:第1RF產生部 141b:第2RF產生部 142a:第1匹配電路 142b:第2匹配電路 150:電磁鐵 151:芯構件 152:線圈 153:激發用電路 160:排氣系統 200:靜電消除器 G:間隙 L:長度 S:處理空間 W:晶圓
圖1係顯示本實施形態之電漿處理系統的結構例之平面圖。 圖2係顯示本實施形態之測定機構的安裝例之說明圖。 圖3係顯示本實施形態之處理模組的結構例之縱截面圖。 圖4係顯示本實施形態之處理模組的另一結構例之縱截面圖。 圖5係顯示以測定機構所行之腔室內部環境的測定之樣態的說明圖。 圖6係顯示本實施形態之處理模組的另一結構例之縱截面圖。 圖7係顯示以測定機構所行之腔室內部環境的測定之樣態的說明圖。 圖8係顯示本實施形態之晶圓搬運機構的另一結構例之說明圖。
50:輸送模組
60:處理模組
70:晶圓搬運機構
75:測定機構
110:晶圓支撐部
W:晶圓

Claims (18)

  1. 一種處理系統,在減壓環境下施行基板之處理,包含: 處理腔室,對基板施行所期之處理; 搬運腔室,具有進行將該基板對該處理腔室搬入搬出之搬運機構;及 控制部,控制該處理腔室之處理程序; 該搬運機構具有: 叉部,將該基板固持於頂面來搬運;及 測定機構,設於該叉部,用來測定該處理腔室之內部狀態; 該控制部依據以該測定機構取得之該處理腔室的內部狀態,控制在該處理腔室之處理程序。
  2. 如請求項1之處理系統,其中, 於該處理腔室設置:靜電吸盤,將該基板吸附固持於頂面;及直流電源,對該靜電吸盤施加直流電壓, 該測定機構具有用來測定該靜電吸盤之表面電位的電位感測器, 該控制部依據以該測定機構取得之該靜電吸盤的表面電位,控制來自該直流電源之該直流電壓的施加量。
  3. 如請求項2之處理系統,更具有: 靜電消除器,用來將該靜電吸盤之表面電中和。
  4. 如請求項1至請求項3中任一項之處理系統,其中, 於該處理腔室設置: 靜電吸盤,將該基板吸附固持於頂面; 加熱器,調整該靜電吸盤之表面溫度;及 加熱器電源,控制該加熱器之動作; 該測定機構具有用來測定該靜電吸盤之表面溫度的溫度感測器, 該控制部依據以該測定機構取得之該靜電吸盤的表面溫度,控制由該加熱器電源對該加熱器的電壓之施加量。
  5. 如請求項4之處理系統,其中, 該加熱器係以將該靜電吸盤之該基板的固持面分割成複數的溫度調節區域之方式,設置複數個, 該測定機構係對複數之該溫度調節區域,逐一測定該靜電吸盤之表面溫度。
  6. 如請求項1至請求項5中任一項之處理系統,其中, 於該處理腔室設置: 靜電吸盤,將該基板吸附固持於其頂面; 邊緣環,配置成俯視時包圍該靜電吸盤之該基板的固持面;及 升降銷,構造成使該邊緣環升降自如, 該測定機構具有用來測定該邊緣環之頂面高度位置的距離感測器, 該控制部依據以該測定機構取得之該邊緣環的頂面高度位置,藉由該升降銷之動作控制該邊緣環之升降動作。
  7. 如請求項1至請求項6中任一項之處理系統,其中, 於該處理腔室設置: 靜電吸盤,將該基板吸附固持於其頂面; 邊緣環,配置成俯視時包圍該靜電吸盤之該基板的固持面;及 環用電源,對該邊緣環施加直流電壓; 該測定機構具有測定該邊緣環之頂面高度位置的距離感測器, 該控制部依據以該測定機構取得之該邊緣環的頂面高度位置,控制由該環用電源施加之該直流電壓的施加量。
  8. 如請求項6或請求項7之處理系統,其中, 該控制部依據以該測定機構取得之該邊緣環的頂面高度位置,記錄該邊緣環之消耗量,依據該消耗量,通知該邊緣環之更換時期。
  9. 如請求項6至請求項8中任一項之處理系統,其中, 該控制部更以該距離感測器測定該靜電吸盤之該基板的固持面高度位置, 依據該邊緣環之頂面高度位置與該固持面高度位置的測定結果,算出該處理腔室之內部的該邊緣環之位置。
  10. 如請求項1至請求項9中任一項之處理系統,其中, 該測定機構具有攝影機構,用來檢測於處理該基板後,附著於該處理腔室之內部的反應產物, 該控制部依據以該測定機構取得之該反應產物的附著量,調整在該處理腔室之處理程序的條件。
  11. 如請求項10之處理系統,其中, 該處理腔室具有: 氣體供應部,其對該處理腔室供應任意之處理氣體;及 直流電源系統,其用以控制產生於該處理腔室之內部的電漿; 該控制部藉由控制該氣體供應部或該直流電源系統其中至少任一者之動作,而調整該處理程序之條件。
  12. 如請求項10或請求項11之處理系統,其中, 於該處理腔室,在處理該基板之前,進行用以去除該反應產物之清潔處理, 該控制部依據以該測定機構取得之該反應產物的附著量,調整該清潔處理之清潔氣體的流量或清潔處理之時間。
  13. 如請求項1至請求項12中任一項之處理系統,其中, 於該處理腔室設置: 電漿產生部,用以於該處理腔室之內部產生電漿;及 電磁鐵,具有用以控制產生於該處理腔室之內部的電漿之均一性的線圈與激發用電路; 該測定機構具有測定以該電磁鐵產生之磁場的磁力分布之磁性感測器, 該控制部依據以該測定機構取得之該磁力分布,控制由該激發用電路對該線圈之施加電流。
  14. 如請求項2至請求項12中任一項之處理系統,其中, 該測定機構至少設於該叉部之底面側。
  15. 如請求項10至請求項13中任一項之處理系統,其中, 該測定機構至少設於該叉部之頂面側。
  16. 如請求項1至請求項15中任一項之處理系統,其中, 該搬運機構具有複數之該叉部, 於複數之該叉部各自設置不同種類之該測定機構。
  17. 一種基板處理方法,其係處理系統中之基板的處理方法, 該處理系統具有: 處理腔室,在減壓環境下對該基板施行所期之處理;及 搬運腔室,具有進行將該基板對該處理腔室搬入搬出之搬運機構; 該搬運機構具有: 叉部,將該基板固持於其頂面來搬運;及 測定機構,設於該叉部,用來測定該處理腔室之內部狀態; 該基板處理方法包含下列製程: 使該叉部進入至該處理腔室之內部; 以該測定機構取得該處理腔室之內部狀態;及 依據測定結果,控制在該處理腔室之處理程序。
  18. 如請求項17之基板處理方法,其中, 該搬運機構具有複數種該測定機構, 在該取得內部狀態之製程,進行複數種之不同的內部狀態之測定。
TW110137693A 2020-10-23 2021-10-12 處理系統及處理方法 TW202234560A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020-178366 2020-10-23
JP2020178366A JP7499142B2 (ja) 2020-10-23 2020-10-23 処理システム及び処理方法

Publications (1)

Publication Number Publication Date
TW202234560A true TW202234560A (zh) 2022-09-01

Family

ID=81257546

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110137693A TW202234560A (zh) 2020-10-23 2021-10-12 處理系統及處理方法

Country Status (5)

Country Link
US (1) US20220130651A1 (zh)
JP (1) JP7499142B2 (zh)
KR (1) KR20220054535A (zh)
CN (1) CN114496695A (zh)
TW (1) TW202234560A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10811290B2 (en) * 2018-05-23 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for inspection stations
JP2021097162A (ja) * 2019-12-18 2021-06-24 東京エレクトロン株式会社 基板処理装置及び載置台
WO2024071073A1 (ja) * 2022-09-30 2024-04-04 東京エレクトロン株式会社 基板処理システム
CN117512544A (zh) * 2024-01-05 2024-02-06 上海陛通半导体能源科技股份有限公司 Pvd磁控溅射镀膜设备

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JP2000003905A (ja) 1998-06-16 2000-01-07 Hitachi Ltd エッチング装置および半導体装置の製造方法
WO2000032839A1 (en) 1998-12-01 2000-06-08 Silicon Genesis Corporation Enhanced plasma mode, method, and system for plasma immersion ion implantation
JP2002367907A (ja) 2001-06-04 2002-12-20 Inst Of Physical & Chemical Res 結晶成長装置および結晶成長方法
JP6195481B2 (ja) 2013-07-08 2017-09-13 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
US10014198B2 (en) 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
JP2019212655A (ja) 2018-05-31 2019-12-12 東芝メモリ株式会社 プラズマ処理装置および半導体装置の製造方法
US10672589B2 (en) * 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
JP7188992B2 (ja) 2018-11-27 2022-12-13 株式会社ディスコ プラズマエッチング装置
JP7258562B2 (ja) 2019-01-11 2023-04-17 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
US11804368B2 (en) * 2020-03-02 2023-10-31 Tokyo Electron Limited Cleaning method and plasma processing apparatus
JP7454976B2 (ja) * 2020-03-24 2024-03-25 東京エレクトロン株式会社 基板支持台、プラズマ処理システム及びエッジリングの交換方法
JP2022042122A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 基板処理システム及び状態監視方法
JP7376733B2 (ja) * 2020-12-25 2023-11-08 東京エレクトロン株式会社 メンテナンス装置、真空処理システム及びメンテナンス方法
JP2022111771A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 プラズマ処理システム及びプラズマ処理方法
JP2022116644A (ja) * 2021-01-29 2022-08-10 東京エレクトロン株式会社 基板処理システム及び状態監視方法
TW202238803A (zh) * 2021-02-26 2022-10-01 日商東京威力科創股份有限公司 搬運系統、搬運裝置及搬運方法
JP2022174626A (ja) * 2021-05-11 2022-11-24 東京エレクトロン株式会社 基板処理システム及び環状部材の高さ推定方法
KR20240050374A (ko) * 2021-08-26 2024-04-18 도쿄엘렉트론가부시키가이샤 지그 기판 및 티칭 방법

Also Published As

Publication number Publication date
JP7499142B2 (ja) 2024-06-13
CN114496695A (zh) 2022-05-13
KR20220054535A (ko) 2022-05-03
JP2022069274A (ja) 2022-05-11
US20220130651A1 (en) 2022-04-28

Similar Documents

Publication Publication Date Title
TW202234560A (zh) 處理系統及處理方法
US10490392B2 (en) Focus ring replacement method and plasma processing system
KR102422345B1 (ko) 측정 시스템 및 측정 방법
US9841395B2 (en) System of inspecting focus ring and method of inspecting focus ring
US20080242086A1 (en) Plasma processing method and plasma processing apparatus
JP2019176031A (ja) プラズマ処理装置、及び被処理体の搬送方法
JP2021034390A (ja) 基板処理システムにおける搬送方法
JP2022111771A (ja) プラズマ処理システム及びプラズマ処理方法
US20230330715A1 (en) Maintenance device, vacuum processing system, and maintenance method
TW202238803A (zh) 搬運系統、搬運裝置及搬運方法
KR20240017028A (ko) 기판 처리 시스템 및 상태 감시 방법
CN110010524B (zh) 基片处理方法
JP2022132087A (ja) 搬送システム、搬送装置及び搬送方法
TW202213602A (zh) 基板處理裝置及基板搬運方法
WO2022250014A1 (ja) 基板処理システム及びメンテナンス方法
KR102622984B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP7450791B2 (ja) 部品交換システム
KR102232666B1 (ko) 기판 처리 장치 및 부품 상태 검출 방법
US20220319800A1 (en) Plasma processing system, transfer arm, and method of transferring annular member
JP2022151127A (ja) ステージの検査方法
CN113284785A (zh) 基板处理方法、气流评价用基板以及基板处理装置
JP2022053960A (ja) 処理装置及び処理装置における基体保持方法
TW202308008A (zh) 零件更換方法、零件更換裝置及零件更換系統