TW202209523A - 半導體處理中用以控制晶圓彎曲的背側沉積應力調整 - Google Patents

半導體處理中用以控制晶圓彎曲的背側沉積應力調整 Download PDF

Info

Publication number
TW202209523A
TW202209523A TW110117987A TW110117987A TW202209523A TW 202209523 A TW202209523 A TW 202209523A TW 110117987 A TW110117987 A TW 110117987A TW 110117987 A TW110117987 A TW 110117987A TW 202209523 A TW202209523 A TW 202209523A
Authority
TW
Taiwan
Prior art keywords
substrate
wafer bow
wafer
correcting
bow
Prior art date
Application number
TW110117987A
Other languages
English (en)
Inventor
丹尼爾 富爾福德
安東 J 德維利耶
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202209523A publication Critical patent/TW202209523A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage

Abstract

本文提供一種微製造的方法。接收具有工作表面以及與該工作表面相對之背側表面的基板。該基板具有因在該基板之該工作表面上執行之一或多個微製造處理步驟產生的初始晶圓彎曲。量測該基板之該初始晶圓彎曲,該初始晶圓彎曲係用以產生識別出該基板之第一階次晶圓彎曲程度的初始晶圓彎曲值。基於該初始晶圓彎曲值而識別出校正膜配方。該校正膜配方係指定了待沉積在該基板之該背側表面上之校正膜的參數,以將該基板之晶圓彎曲從該初始晶圓彎曲變更成修正後之晶圓彎曲。根據該校正膜配方而在該基板之該背側表面上沉積該校正膜。該校正膜係物理性地修正了該基板上之內部應力,並使該基板具有帶著該預定晶圓彎曲值的一修正後之彎曲。

Description

半導體處理中用以控制晶圓彎曲的背側沉積應力調整
本揭露內容係關於半導體製造,尤其是關於整體晶圓形狀。
[相關申請案]本揭露內容係主張於2020年5月22日申請之美國臨時專利申請案第63/028,905號以及於2021年3月11日申請之美國非臨時專利申請案第17/198,936號的優先權,在此將該等申請案之全部內容合併於此作為參考。
半導體製造係涉及多個不同的步驟及製程。一種典型的製造處理稱為光刻法(亦稱為微影技術)。光刻法係使用諸如紫外光或可視光的輻射,以在半導體裝置設計之中產生精細圖案。諸如二極體、電晶體、及積體電路之許多類型的半導體裝置可以使用包含光刻法、蝕刻、膜沉積、表面清潔、金屬化等的半導體製造技術來製作。
曝光系統(亦稱為工具)係用以實施光刻技術。曝光系統通常包含:照明系統、倍縮光罩(亦稱為光罩)或用於產生電路圖案的空間光調制器(SLM)、投影系統、及用於將感光性光阻覆蓋的半導體晶圓加以對準的晶圓對準平台。照明系統係 (較佳) 以矩形槽照明場來照明倍縮光罩或SLM的區域。投影系統則將倍縮光罩圖案之照明區域的影像投影至晶圓上。為了準確投影,將光圖案曝光在相對平坦或平面的(較佳係小於10微米的高度偏差)晶圓上是重要的。
本揭露內容係關於半導體製造,尤其是關於整體晶圓形狀。
第一態樣為一種用於修改晶圓彎曲的系統。該系統可以包含量測模組,其係配置用以量測基板的晶圓彎曲以及產生初始晶圓彎曲值,該初始晶圓彎曲值係識別出該基板之第一階次晶圓彎曲程度;控制器,其配置係用以接收該初始晶圓彎曲值並識別出校正膜配方,以將該基板之晶圓彎曲從初始晶圓彎曲變更成修正後之晶圓彎曲;以及背側沉積模組,其具有基板支架以及基板處理組件,該背側沉積模組係配置用以根據校正膜配方而在基板之背側表面上沉積校正膜。
在一些實施例中,基板可以具有工作表面並且具有與工作表面相對的背側表面。
在一些實施例中,基板的初始晶圓彎曲可以是起因於在基板之工作表面上執行的一或多個微製造處理步驟。
在一些實施例中,該校正膜配方可以識別出待沉積在該基板之該背側表面上的材料厚度。
在一些實施例中,該校正膜配方可以識別出待沉積在該基板之該背側表面上的材料類型。
在一些實施例中,該校正膜配方可以識別出待沉積在該基板之該背側表面上的材料沉積溫度。
在一些實施例中,該校正膜配方可以指定待沉積在該基板之該背側表面上的校正膜參數。
在一些實施例中,該校正膜配方可以識別出待沉積之至少兩膜的堆疊。
在一些實施例中,該修正後之晶圓彎曲具有預定晶圓彎曲值。
在一些實施例中,該校正膜可以物理性地修正該基板上之內部應力,且使該基板具有帶著該預定晶圓彎曲值的該修正後之晶圓彎曲。
在一些實施例中,該初始晶圓彎曲值可以表示具有凸形工作表面的基板,且其中該修正後之晶圓彎曲的修正後之晶圓彎曲值表示凹形工作表面。
在一些實施例中,該校正膜配方可以識別出使用該背側沉積模組執行之原子層沉積的循環數量。
第二態樣是一種用於修改晶圓彎曲的方法。該方法可以包含接收具有工作表面以及與該工作表面相對之背側表面的基板。可以量測該基板之初始晶圓彎曲以產生初始晶圓彎曲值,該初始晶圓彎曲值係識別出該基板之第一階次晶圓彎曲程度。基於該初始晶圓彎曲值可以識別出校正膜配方。可以根據該校正膜配方而在該基板之該背側表面上沉積該校正膜。
在一些實施例中,具有初始晶圓彎曲的基板可以是起因於在基板之工作表面上執行的一或多個微製造處理步驟。
在一些實施例中,該校正膜可以物理性地修正該基板上之內部應力,且使該基板具有帶著該預定晶圓彎曲值的該修正後之晶圓彎曲。
在一些實施例中,該校正膜配方可以指定待沉積在該基板之該背側表面上的校正膜參數,以將該基板之晶圓彎曲從該初始晶圓彎曲變更成修正後之晶圓彎曲。
在一些實施例中,量測晶圓彎曲以及在該基板之該背側表面上沉積該校正膜的該等步驟可以在共用平台上之模組內執行。
在一些實施例中,該初始晶圓彎曲值可以代表具有凸形工作表面的基板,且其中該修正後之晶圓彎曲值代表凹形工作表面。
在一些實施例中,該校正膜配方可以識別出待沉積在該晶圓之該背側表面上的材料厚度。
在一些實施例中,該校正膜配方可以識別出待沉積在該晶圓之該背側表面上的材料類型。
在一些實施例中,該校正膜配方可以識別出待沉積在該晶圓之該背側表面上的材料沉積溫度。
在一些實施例中,該校正膜配方可以識別出待沉積之至少兩膜的堆疊。
在一些實施例中,該修正後之晶圓彎曲具有預定晶圓彎曲值。
吾人應注意,本發明內容部分並未限定本揭露內容或所要求保護之發明的每一實施例和/或微小的新穎態樣。取而代之地,本發明內容僅提供了對不同實施例及新穎性之相應點的初步討論。對於本發明和實施例的額外細節和/或可能的觀點,讀者會被引向「實施方式」段落和本揭露內容的相應圖示,如下面進一步討論者。
以下之揭露內容提供了許多不同的實施例或示例,以用於實現所提供專利標的之不同特徵。以下描述之組件及配置的特定範例係用以簡化本揭露內容。當然,這些僅是示例,並不旨在進行限制。例如,在下面的描述中,於第二特徵部上方或之上形成第一特徵部可以包含其中第一和第二特徵部形成直接接觸的實施例,並且還可以包含在第一特徵部和第二特徵部之間形成額外特徵部的實施例,使得第一和第二特徵部可以不直接接觸。此外,本揭露內容內容可以在諸多範例中重複使用圖示參照號碼及/或字母。該重複是出於簡化和清楚的目的,且其本身並不指定所討論之各種實施例和/或配置之間的關係。此外,本文中可使用空間相對用語,例如「頂部」、「底部」、「之下」、「下方」、「下部」、「上方」、「上部」等,以便於描述中易於說明一個元素或特徵與另一或多個元素或特徵之間的關係,如圖所示般地。除了在圖中描述的方位之外,空間相對用語還意圖涵蓋裝置在使用或操作中的不同方位。該設備可以以其他方式定向(旋轉90度或以其他定向),並且在此使用的空間相對描述語可以同樣地被相應地解釋。
為了清楚起見,已呈現出本文描述之不同步驟的討論順序。大致而言,這些步驟可以以任何合適的順序執行。另外,儘管可以在本揭露內容的不同地方討論本文中的每個不同特徵、技術、配置等,但是旨在每個概念可以彼此獨立地或彼此組合地執行。因此,可以以許多不同的方式來體現和查看本發明。
現在的半導體製造開發係結合例如進階圖案化和3D裝置構造之類的技術,以減小特徵尺寸並增加裝置密度。然而,實施這些技術卻為成功的微製造帶來了新的挑戰。這些新的製造方法包含在晶圓表面產生多層不同材料的薄膜。然而,每一層都會給晶圓表面增加額外的應力。隨著薄膜層的建立,所產生的應力會扭曲晶圓的平整度。這種扭曲已被證明會降低整個晶圓表面關鍵特徵的尺寸均勻性。
這種扭曲還會導致疊對錯誤和考驗。多種製造處理步驟會導致基板膨脹和/或收縮,進而導致基板翹曲或彎曲。例如在曝光期間,由於從曝光光束傳遞到基板的能量而使得基板被局部加熱。基板亦在退火處理期間被加熱。這種加熱會導致基板膨脹。如果未檢查基板膨脹,則膨脹會超出疊對誤差要求。此外,如果基板與基板卡盤之間的夾持力不足以防止基板膨脹,基板便會在基板卡盤上滑動而發生更大的基板膨脹,從而導致更大的疊對誤差。因為在曝光期間基板周圍的環境為真空的,例如在極紫外 (EUV) 系統的某些處理中,滑動可能更明顯。因此,真空夾持並非總是可行的,必須使用較弱的靜電夾持來取代真空夾持。
其他製造步驟也可能導致基板膨脹和收縮。例如,沉積的薄膜會導致基板收縮。此外,諸多退火和摻雜步驟可在給定的基板中產生大量的彎曲。退火步驟尤其會造成疊對挑戰。這些不同製造步驟的結果是不平坦或非平面的基板。例如,基板背側表面可以具有帶著高點和低點兩者的 z 高度差(垂直高度的差異)。因此種彎曲而產生的高度差可以在大約1微米到大約100微米的數量級上。這種波動是顯著的,因為由多種曝光工具曝光之半導體裝置或結構係暴露在數十奈米到幾百奈米的尺度上。因此,具有數千奈米到 10,000  奈米的偏轉變化會劇烈地降低產量。
用於解決經部分處理之基板上的基板彎曲和不均勻曲度的習知技術係集中於夾持技術,以將基板夾持(或夾持/吸)到基板支架以使曲度平坦化。然而在相對顯著的彎曲情況下,僅通過夾持來準確地使基板平整可能是非常困難或根本不可能。因此,在發送或返回到掃描器進行額外曝光之前,需要具有基板彎曲校正技術來校正基板彎曲並改進疊對。
在一實施例中提出了用於測量晶圓彎曲和在基板背側表面沉積薄膜的系統,其係設計成產生校正或補償應力以抵消晶圓工作表面上的應力,以使晶圓變平或反轉晶圓的曲度。換句話說,基於測量的晶圓彎曲而將預定厚度的薄膜施加到晶圓的背側表面可以平衡晶圓正面的受應力表面,以弄平晶圓彎曲及/或反轉晶圓彎曲,從而導致帶有輕微凹陷的晶圓。
在一實施例中提出了一種系統,其中量測模組和背側沉積模組係包含在一共用平台中。量測模組係配置為測量晶圓彎曲。這可以包含整體或全域彎曲,即第一階彎曲或曲度。這可以包含在坐標位置測量晶圓表面的相對 z 高度。在識別出晶圓彎曲的程度之後,此晶圓彎曲測量值或特性便用於控制沉積在晶圓背側表面上的膜厚度。晶圓厚度和/或晶圓沉積參數可以基於晶圓彎曲測量值來改變或校正。這可以包含特定的沉積溫度、壓力、摻雜劑量等。
在基板背側表面上沉積膜是具有挑戰性的。雖然許多不同的半導體製造工具在基板的正面(頂面或工作表面)上沉積薄膜,但通常不進行背側表面沉積。對於正面沉積,基板通常位於卡盤、基座或板上,並可能被夾在該表面上。這種夾持會導致背側表面產生刮痕和缺陷。由於背側表面上不存在特徵和裝置(電晶體),因此引入基板背側表面的刮痕和缺陷通常是無關緊要的。要使用現有的沉積工具在基板背側表面沉積薄膜,必須將基板倒置並放置在支撐表面上進行處理。在正面夾住基板會導致刮痕、引入缺陷,並且在製造過程中通常會破壞特徵。因此,為了成功地製造裝置,基板不能以正面放置在卡盤上、夾在卡盤上或接觸卡盤。
在一些實施例中,基板係夾在基板支架上。然而,當起始晶圓具有凸出的工作表面時,夾持便會有問題。首先以邊緣接觸基板支架,這會產生摩擦而使晶圓無法適當展開,並可能導致扭曲和疊對錯誤。相較之下,當晶圓的工作表面具有少量的凹度,使得晶圓的中心以上翹的邊緣與基板支架接觸時,便可以順利地夾住晶圓。因此在夾持之前,需要在晶圓邊緣和基板支架之間具有相對較小的距離。取決於特定應用,此凹度的量或距離可以是數十或數百微米。一些實施例可以產生+/-300um的凹度。
可以使用諸多系統來執行背側表面沉積,包含在 2018 年 7 月 27 日申請之題為「System and Method for Backside Deposition of a Substrate」的美國專利申請案號第 16/047,711 中描述的系統,該申請案係通過引用而整體併入本文。
這樣的技術可以包含背側表面處理。通常,晶圓具有工作表面和背側表面。工作表面為在其上製造半導體裝置(例如電晶體、二極管、閘極、佈線等)的表面。背側表面通常與工作表面相對,且通常是被夾持或夾在基板支架上的表面。這裡的技術包含薄膜的背側表面沉積,以修改或調整內部應力並改變晶圓彎曲或第一階曲度。
圖1A為用於校正晶圓彎曲之示例系統的平面圖,圖1B為用於校正晶圓彎曲之示例系統的斜視圖。系統100包含諸多晶圓處理組件或載體以及若干模組。載具區塊110係包含用於接收晶圓載具112的平台111。晶圓載具112可以容納多個半導體晶圓。門114可以打開以存取晶圓載具中的多個基板。傳送臂116可以將基板從晶圓載具112傳送到處理區塊120中的擱架單元121。傳送臂123可以定位在擱架單元121附近且能夠來回以及垂直移動。接著傳送臂125可以從擱架單元121或傳送臂123存取基板以在模組131-139之間移動。
取決於期望的實施例,系統100還可以包含模組131-139。模組可以包含一或多個配置為測量晶圓彎曲量的量測模組。模組還可以包含一或多個背側沉積模組,其係配置用以沉積一或多層薄膜在處理中之晶圓的背側表面上。量測模組可以向系統100提供彎曲測量值。彎曲測量值可以包含測量凸度或凹度,或相對於一或多個參考z高度值而映射基板上之z高度偏差。換句話說,z-高度偏差為空間映射的,例如以坐標位置,以識別整個基板表面上的z-高度偏差。根據所使用的量測設備類型和/或所需的解析度,可以以多種解析度映射彎曲和 z 高度偏差。
沉積模組可以配置用以在將一或多層薄膜沉積在基板背側表面上的同時將基板保持在工作表面朝上(遠離地球引力)。量測模組和背側沉積模組可以位於在具有自動基板處理系統的共用平台上,該自動基板處理系統係自動地將基板從量測模組移動到背側沉積模組。
背側沉積模組係使用化學氣相沉積、原子層沉積或其他沉積技術。因為化學氣相沉積可以取決於表面溫度,所以可以基於腔室溫度沉積更多或更少的材料。因此,給定的校正膜可以指示材料類型、沉積溫度和最終厚度。
處理可以在一或多個模組中執行,這取決於對基板的處理類型以修改晶圓彎曲。如果校正膜指出不同材料的交替層,則可以在兩模組之間或多個模組之間移動給定晶圓以接收諸多增量膜。因此,可以選擇性地使用多個模組。
彎曲測量值可以包含原始彎曲數據,或者被表示為具有相對值的彎曲特徵。吾人應注意在許多實施例中,參考z高度值可能都接近於零,且因此表示接近平坦的晶圓。例如,本文之用於改進疊對的接近平坦或視為平坦的晶圓可以是具有小於10微米之平均偏差的晶圓。
在一些實施例中,參考z高度值可以表示一些非平面形狀,但是儘管如此,該形狀對於疊對誤差校正是有用的——尤其是對於微製造的特定階段。本文中的技術能夠校正大於 10 微米的彎曲。量測模組係配置用以測量具有工作表面和與工作表面相對之背側表面的基板。基板具有因一或多個微製造處理步驟所產生的初始晶圓彎曲值,這些步驟的執行係用以在基板的工作表面上產生至少一部分的半導體裝置。例如,場效電晶體可以是完成的或僅部分完成的。
在本實施例中,圖1A中的控制器140係連接到系統100。控制器140可以是位於系統100內或位於遠端但與系統100之組件通信的電腦處理器。控制器140係配置用以接收彎曲測量值或彎曲值以及計算或識別出一種校正膜,當該校正膜沉積在背側表面上時會導致晶圓彎曲值的修正。彎曲測量值可以從量測模組(例如 131)或從單獨的系統接收。所識別出的校正膜可包含用於膜的材料類型、膜厚度、沉積溫度、膜組合、摻雜劑等。
除了彎曲測量值之外,校正膜還可以是基於基板之工作表面的裝置參數。例如,與構成用於邏輯之 finFET 裝置的早期階段相比,相對較深的記憶體陣列可需要更多的應力修改。可以根據實驗表、觀察結果、模型或其他計算方法來識別校正膜。例如,如果晶圓的彎曲度為 200 nm,則可以沉積特定厚度的氧化矽或氮化矽。如果彎曲度為 600 nm,則可以沉積不同的厚度或材料。可以在新晶圓上沉積不同厚度和不同溫度的校正膜,以觀察彎曲校正產生的應力。請注意,基於沉積溫度或添加的摻雜劑或顆粒,相同材料和相同厚度的某些薄膜可能具有不同的內部應力。
本系統可以包含一或多個處理模組,其具有基板支架和基板處理組件,該處理模組係配置用以藉由在晶圓背側表面上沉積一或多個校正膜來物理性地修改基板上的內部應力以修改晶圓彎曲。
系統100還可以包含背側沉積模組,例如132。背側沉積模組,例如132,可以配置用以在基板的背側表面上沉積一或多層薄膜。
圖2顯示根據本揭露內容實施例中用於製造諸如系統100等的示例性半導體裝置之示例性處理200的流程圖。處理200開始於步驟S201,在該步驟中接收基板且該基板具有工作表面以及與該工作表面相對的背側表面。具有初始晶圓彎曲的基板係因在基板的工作表面上執行之一或多個微製造處理步驟所產生。
在步驟S202,測量基板的初始晶圓彎曲並且使用初始晶圓彎曲來產生初始晶圓彎曲值,該初始晶圓彎曲值係識別出基板的第一階晶圓彎曲的程度。
在步驟S203,基於初始晶圓彎曲值而識別出校正膜配方。該校正膜配方係指定待沉積在基板背側表面上之校正膜的參數,以將基板的晶圓彎曲從初始晶圓彎曲變更為經修改的晶圓彎曲。
在步驟S204中,根據該校正膜配方而在基板背側表面上沉積校正膜。該校正膜係物理性地修改基板上的內部應力並使基板具有帶著預定晶圓彎曲值的經修改彎曲。
吾人應當注意,可以在處理200之前、期間和之後提供額外步驟,且對於處理200的額外實施例,可以替換、消除或以不同順序執行所描述的一些步驟。
圖3為用於基板測量或檢查之模組(例如用作量測模組(例如131))的橫剖面示意圖。基板105係由周邊支架151夾持。基板105包含工作表面106和背側表面107。請注意可以測量工作表面或背側表面。
在此圖示中,背側表面107係設定用於測量。測量臂154可以包含感應器156以測量整個背側表面的z高度偏差。有多種機制可用於測量 z 高度偏差,包含光學、聲學等。當測量臂154移動時,基板105可以是靜止的。或者基板105可以旋轉。取決於所選擇之測量機構的類型,測量臂154可以具有與背側表面107接觸的感應器156。
圖4是用於背側沉積的模組(例如模組132)的橫剖面示意圖。背側沉積模組(例如132)可以配置用以沈積具有相反應力的兩個或更多個膜。或者,對於具有相反應力或相同應力的每個膜使用單獨的沉積模組。背側沉積模組(例如132)可以配置用以將基板105固定在周邊處,而使基板背側表面朝上或朝下。對於可流動或旋塗的材料,背側表面可以朝上。在一些實施例中,可以在保持工作表面朝上的同時在背側表面上執行沉積。
圖1A中的控制器140係配置用以產生校正膜描述,該描述係識別出欲執行的沉積參數,以將晶圓彎曲從初始晶圓彎曲變更為經修改的晶圓彎曲。這可以包含接收相對於工作表面具有顯著凸度的晶圓,並且這還可以包含改變彎曲,使得晶圓具有輕微的凹度以便更好地夾持以用於後續處理。校正膜描述或規格係識別出校正膜沉積參數以產生修正後的晶圓彎曲。
自動化基板處理系統係配置用以在諸多模組之間傳送基板。一或多個模組可以全部位於相同或共用平台上。自動化基板處理系統可以配置用以根據多種模組的需要而旋轉或翻轉基板,儘管在整個彎曲修改處理中可以對給定的基板執行背側處理,同時將基板的工作表面保持朝上。對於翻轉基板使得工作表面朝下並由習知基板支架或卡盤保持的實施例,其技術可以包含對工作表面添加保護膜以保護工作表面(及其上的裝置)。
圖5圖示了微製造處理中的半導體晶圓500以平坦晶圓開始。在半導體晶圓500的微製造處理期間係執行了多個處理步驟,包含在基板上沉積材料、去除材料、植入摻雜劑、退火、烘烤等。不同的材料和結構形成會導致如基板500中所示的內部應力502,而導致晶圓彎曲,進而影響疊對並且通常導致多種量級的疊對誤差。
圖6圖示了具有測量值的第一階彎曲,其中測量值係說明了偏離參考平面506的z高度偏差504。第一階彎曲係表示在晶圓彎曲上最高和最低測量點的z高度偏差。因為產生電路的傳統處理為單一側的(在工作表面上製造),所以彎曲會迅速發展。相等並相反程度的應力可以相互抵消。因此,一種技術是在基板的背側表面上製造與在工作表面製造之相同結構(功能結構或虛擬結構)。然而這種雙面微製造可能具有挑戰性,因為需要避免將工作表面放置在基板支架或卡盤上以免在金屬化或封裝之前破壞易碎結構。因此,簡單地翻轉晶圓以在背側表面製造緩和圖案並非是優先選擇。
圖7顯示平坦晶圓,圖8則顯示具有較小凹度的晶圓。在本實施例中,晶圓彎曲校正系統係接收具有一定彎曲量之基板的輸入。本系統係測量基板以識別晶圓彎曲的值,接著識別膜特性,該膜特性將導致晶圓在沉積相應膜之後具有修改後的彎曲。接著根據膜特性來沉積相應膜會導致晶圓具有修改後的彎曲。修改後的彎曲可以產生如圖7所示之平坦晶圓,或如圖8所示之具有較小凹度的晶圓。晶圓的習知處理通常會導致晶圓彎曲凸出。換句話說,當擱置在基板支架上時,晶圓的邊緣係與基板支架或表面接觸,而晶圓的中心則是升高到基板支架表面之上,如圖6所示。
圖9圖示了添加到基板的拉伸氮化矽的圖,該基板包含添加薄膜的背側處理技術。添加薄膜可以增加應力並調整全域晶圓彎曲,即第一階偏差。添加的層或薄膜可以選擇性地向基板添加拉伸或壓縮應力。例如,如果沉積的 SiN 厚度增加,則基板上的正彎曲(z 高度偏差)會相應增加。如果減少 SiN 的厚度,則正彎曲偏差也會減少。沉積具有壓縮應力的薄膜時會發生類似但鏡像的結果。隨著壓縮性薄膜厚度的增加,負彎曲增加。類似的,移除複數位置處的此類壓縮性薄膜會減少在這些位置處的負彎曲。
背側處理可包含許多步驟。 例如,可剝離或清洗背側表面以作為初始步驟。接著可執行量測步驟以測量給定基板之彎曲。此彎曲量測值可用於目標背側處理。此處技術可以使用一或多個工具以及/或模組。例如,單一工具可包含配置用以量測晶圓彎曲之一或多個量測模組,以及一或多個背側沉積模組可配置用以基於該量測之晶圓彎曲而在背側表面上沉積一或多個薄膜,所有的這些模組都在一共同平台上。替代性的實施例可以使用分離的工具/系統,但仍需要在工具之間手動傳送晶圓。共同平台的好處是效率增加。
在前面的描述中,已經闡述了具體細節,例如處理系統的特定幾何形狀以及其中使用之各個組件和處理的描述。然而,吾人應當理解,本文的技術可以在悖離這些具體細節而在其他實施例中實踐,且這樣的細節是出於解釋而非限制的目的。本文揭露之實施例係已參考附圖來描述。類似地,出於解釋的目的,已經提出了具體的數字、材料和配置以便提供透徹的理解。然而,可以在沒有這樣的具體細節的情況下實踐實施例。具有基本相同功能結構的組件係由相同的附圖標記來表示,因此可以省略任何多餘的描述。
已經將各種技術描述為多個離散操作以幫助理解各個實施例。描述的順序不應解釋為暗示這些操作必須與順序有關。實際上,這些操作無需按照顯示順序執行。可以與所描述的實施例不同的順序來執行所描述的操作。在額外的實施例中,可以執行各種額外操作及/或可以省略所描述的操作。
本文所使用之「基板」或「目標基板」通常是指根據本發明而被處理的物體。該基板可以包含裝置之材料部分或結構,尤其是半導體或其他電子裝置,且可以例如是半導體晶圓、標線片、或者是基底基板上的層或其上覆層(例如薄膜)的基底基板結構。因此,基板並不限於任何特定的基底結構、下伏層或上覆層、圖案化或未圖案化的,而是預期包含任何此類層或基底結構以及層和/或基底結構的任何組合。本描述可能參照特定類型的基板,但其僅出於說明之目的。
當然,此處所述之不同步驟的討論順序係為了清楚呈現而提出。總體來說,這些步驟可以以任意適當順序來執行。此外,雖然此處之每一不同特徵、技術、配置等可能在本揭露內容之不同處討論,但旨在其每一概念均可彼此獨立或彼此組合而執行。因此本發明可以以許多不同方式來體現及觀看。
熟習本技藝者亦將理解,可以對上述技術之操作進行許多變化,同時仍然達成本發明之相同目的。此等變化旨在涵蓋於本揭露內容之範圍。因此本發明實施例之前述說明並不意欲為限制性的。更確切地說,本發明實施例之任何限制均於所附申請專利範圍中提出。
100:系統 105:基板 106:工作表面 107:背側表面 110:載具區塊 111:平台 112:晶圓載具 114:門 116:傳送臂 120:處理區塊 121:擱架單元 123:傳送臂 125:傳送臂 131-139:模組 140:控制器 151:周邊支架 154:測量臂 156:感應器 200:處理 500:半導體晶圓 502:內部應力 504:z-高度偏差 506:參考平面 S201:步驟 S202:步驟 S203:步驟 S204:步驟
當結合附圖閱讀時,從以下詳細描述可以最佳地理解本揭露內容的態樣。吾人需要注意的是,根據業界中的標準做法,諸多特徵並未按比例繪製。事實上,為了清楚討論,可以增加或減少各種特徵的尺寸。
圖1A顯示根據一些實施例中用於校正晶圓彎曲之示例性系統的平面圖;
圖1B顯示根據本揭露內容之實施例中用於校正晶圓彎曲之示例性系統的斜視圖;
圖2顯示根據本揭露內容之示例性實施例中用於製造示例性半導體裝置之示例性處理的流程圖;
圖3顯示根據本揭露內容之示例性實施例中用於基板量測或檢查之模組的橫剖面示意圖;
圖4顯示根據本揭露內容之示例性實施例中用於背側表面沉積之模組的橫剖面示意圖;
圖5顯示根據本揭露內容之示例性實施例中從平坦晶圓開始之微製造處理中的半導體晶圓;
圖6顯示根據本揭露內容之示例性實施例中具有量測值顯示偏離參考平面之z高度偏差的第一階彎曲;
圖7顯示根據本揭露內容之示例性實施例中之平坦晶圓;
圖8顯示根據本揭露內容之示例性實施例中具有相對較小凹度的晶圓;以及
圖9顯示根據本揭露內容之示例性實施例中添加到基板的拉伸氮化矽的圖,該基板包含添加膜的背側處理技術。
100:系統
110:載具區塊
111:平台
112:晶圓載具
114:門
116:傳送臂
120:處理區塊
121:擱架單元
123:傳送臂
125:傳送臂
131-139:模組
140:控制器

Claims (20)

  1. 一種修正晶圓彎曲的系統,其包含: 一量測模組,其係配置用以量測一基板的晶圓彎曲以及產生一初始晶圓彎曲值,該初始晶圓彎曲值係識別出該基板之第一階次晶圓彎曲程度,該基板係具有一工作表面以及與該工作表面相對之一背側表面; 一控制器,其配置係用以接收該初始晶圓彎曲值並識別出一校正膜配方,以將該基板之晶圓彎曲從一初始晶圓彎曲變更成一修正後之晶圓彎曲,該初始晶圓彎曲係因在該基板之該工作表面上執行之一或多個微製造處理步驟而產生;以及 一背側沉積模組,其具有一基板支架以及基板處理組件,該背側沉積模組係配置用以根據該校正膜配方而在該基板之該背側表面上沉積一校正膜。
  2. 如請求項1之修正晶圓彎曲的系統,其中該校正膜配方係識別出待沉積在該基板之該背側表面上的一材料厚度。
  3. 如請求項1之修正晶圓彎曲的系統,其中該校正膜配方係識別出待沉積在該基板之該背側表面上的一材料類型。
  4. 如請求項1之修正晶圓彎曲的系統,其中該校正膜配方係識別出待沉積在該基板之該背側表面上的一材料沉積溫度。
  5. 如請求項1之修正晶圓彎曲的系統,其中該校正膜配方係指定了待沉積在該基板之該背側表面上的材料參數。
  6. 如請求項1之修正晶圓彎曲的系統,其中該校正膜配方係識別出待沉積之至少兩膜的一堆疊。
  7. 如請求項1之修正晶圓彎曲的系統,其中該修正後之晶圓彎曲具有一預定晶圓彎曲值。
  8. 如請求項7之修正晶圓彎曲的系統,其中該校正膜係物理性地修正了該基板上之內部應力,且使該基板具有帶著該預定晶圓彎曲值的該修正後之晶圓彎曲。
  9. 如請求項1之修正晶圓彎曲的系統,其中該初始晶圓彎曲值表示具有一凸形工作表面的一基板,且其中該修正後之晶圓彎曲之一修正後之晶圓彎曲值表示一凹形工作表面。
  10. 如請求項1之修正晶圓彎曲的系統,其中該校正膜配方係識別出使用該背側沉積模組執行之原子層沉積的一循環數量。
  11. 一種修正晶圓彎曲的方法,其步驟包含: 接收具有一工作表面以及與該工作表面相對之一背側表面的一基板,該基板具有因在該基板之該工作表面上執行之一或多個微製造處理步驟造成的一初始晶圓彎曲; 量測該基板之該初始晶圓彎曲以產生一初始晶圓彎曲值,該初始晶圓彎曲值係識別出該基板之第一階次晶圓彎曲程度; 基於該初始晶圓彎曲值而識別出一校正膜配方,該校正膜配方係指定了待沉積在該基板之該背側表面上之一校正膜的參數,以將該基板之晶圓彎曲從該初始晶圓彎曲變更成一修正後之晶圓彎曲;以及 根據該校正膜配方而在該基板之該背側表面上沉積該校正膜,該校正膜係物理性地修正了該基板上之內部應力,以使該基板具有帶著一預定晶圓彎曲值的該修正後之晶圓彎曲。
  12. 如請求項11之修正晶圓彎曲的方法,其中量測晶圓彎曲以及在該基板之該背側表面上沉積該校正膜的該等步驟係於一共用平台上之模組內執行。
  13. 如請求項11之修正晶圓彎曲的方法,其中該初始晶圓彎曲值表示具有一凸形工作表面的一基板,且其中該修正後之晶圓彎曲之一修正後之晶圓彎曲值表示一凹形工作表面。
  14. 如請求項11之修正晶圓彎曲的方法,其中該校正膜配方係識別出待沉積在該基板之該背側表面上的一材料厚度。
  15. 如請求項11之修正晶圓彎曲的方法,其中該校正膜配方係識別出待沉積在該基板之該背側表面上的一材料類型。
  16. 如請求項11之修正晶圓彎曲的方法,其中該校正膜配方係識別出待沉積在該基板之該背側表面上的一材料沉積溫度。
  17. 如請求項11之修正晶圓彎曲的方法,其中該校正膜配方係識別出待沉積之至少兩膜的一堆疊。
  18. 一種半導體裝置,其包含: 在一基板之一工作表面上之一或多個電晶體,以及 該基板之一背側表面上之一校正層,該背側表面係與該工作表面相對,該校正層係物理性地修正了該基板上之內部應力。
  19. 如請求項18之半導體裝置,其中該校正層包含一或多個拉伸性膜,當該一或多個拉伸性膜的一厚度增加時,該基板上之一正彎曲也增加。
  20. 如請求項18之半導體裝置,其中該校正膜係包含一或多個壓縮性膜,當該一或多個壓縮性膜的一厚度增加時,該基板上之一負彎曲也增加。
TW110117987A 2020-05-22 2021-05-19 半導體處理中用以控制晶圓彎曲的背側沉積應力調整 TW202209523A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063028905P 2020-05-22 2020-05-22
US63/028,905 2020-05-22
US17/198,936 US20210366792A1 (en) 2020-05-22 2021-03-11 Backside deposition tuning of stress to control wafer bow in semiconductor processing
US17/198,936 2021-03-11

Publications (1)

Publication Number Publication Date
TW202209523A true TW202209523A (zh) 2022-03-01

Family

ID=78608385

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110117987A TW202209523A (zh) 2020-05-22 2021-05-19 半導體處理中用以控制晶圓彎曲的背側沉積應力調整

Country Status (3)

Country Link
US (1) US20210366792A1 (zh)
TW (1) TW202209523A (zh)
WO (1) WO2021236213A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7076499B2 (ja) * 2020-06-22 2022-05-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US20230251574A1 (en) * 2022-02-04 2023-08-10 Tokyo Electron Limited Method to enhance lithography pattern creation using semiconductor stress film tuning
US20230251584A1 (en) * 2022-02-04 2023-08-10 Tokyo Electron Limited In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090084171A (ko) * 2008-01-31 2009-08-05 주식회사 실트론 휨이 제어된 실리콘 에피택셜 웨이퍼 및 그 제조 방법
US9136192B2 (en) * 2012-03-30 2015-09-15 Sintokogio, Ltd. Warp correction device and warp correction method for semiconductor element substrate
JP6145990B2 (ja) * 2012-10-29 2017-06-14 セイコーエプソン株式会社 マイクロレンズアレイ基板の製造方法
US9406536B1 (en) * 2015-06-29 2016-08-02 Hermes-Epitek Corp. Method and system for manufacturing semiconductor epitaxy structure
US10818611B2 (en) * 2015-07-01 2020-10-27 Ii-Vi Delaware, Inc. Stress relief in semiconductor wafers
JP7164289B2 (ja) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
US10347591B2 (en) * 2016-09-16 2019-07-09 Ii-Vi Delaware, Inc. Metallic, tunable thin film stress compensation for epitaxial wafers
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
WO2020073218A1 (en) * 2018-10-10 2020-04-16 Applied Materials, Inc. Techniques and apparatus for anisotropic stress compensation in substrates using ion implantation
US20200201027A1 (en) * 2018-12-21 2020-06-25 Didi Research America, Llc Micromachined mirror assembly having multiple coating layers

Also Published As

Publication number Publication date
US20210366792A1 (en) 2021-11-25
WO2021236213A1 (en) 2021-11-25

Similar Documents

Publication Publication Date Title
TW202209523A (zh) 半導體處理中用以控制晶圓彎曲的背側沉積應力調整
KR102467979B1 (ko) 반도체 프로세싱에서 오버레이를 제어하기 위해 보우를 제어하기 위한 응력의 위치-특정 튜닝
US20220148978A1 (en) Apparatus for stacking substrates and method for the same
CN110945159B (zh) 用于基板的后侧沉积的系统和方法
TWI585894B (zh) 基板支撐件、將基板載於一基板支撐件位置之方法、微影裝置及器件製造方法
US8138456B2 (en) Heat processing method, computer-readable storage medium, and heat processing apparatus
JP2022106830A (ja) 積層基板製造方法、積層基板製造装置、積層基板製造システム、および基板処理装置
WO2018221391A1 (ja) 基板貼り合わせ方法、積層基板製造装置及び積層基板製造システム
TW201827931A (zh) 基板、基板固持器、基板塗佈裝置、用於塗佈基板之方法及用於移除塗佈之方法
KR102183431B1 (ko) 처리 장치 및 물품의 제조 방법
TW201903934A (zh) 對齊裝置及方法
JP2019186343A (ja) インプリント装置、インプリント方法および物品製造方法
JP2019102495A (ja) 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
JP2022101596A (ja) 積層基板の製造方法および製造装置
JP2021141259A (ja) 決定方法、インプリント方法、インプリント装置、物品の製造方法及びプログラム
US20230359128A1 (en) In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
WO2017213133A1 (ja) 位置合わせ方法、インプリント装置、プログラム、および物品の製造方法
WO2023153317A1 (ja) 基板補正装置、基板積層装置、基板処理システム、基板補正方法、基板処理方法、および半導体装置の製造方法
US20230110011A1 (en) Stage apparatus, lithography apparatus and article manufacturing method
JPH10106928A (ja) 露光方法およびそれを用いた半導体集積回路装置の製造方法
US20230251584A1 (en) In-situ lithography pattern enhancement with localized stress treatment tuning using heat zones
JP7071089B2 (ja) 保持装置、保持方法、リソグラフィ装置および、物品の製造方法
US20220373880A1 (en) Imprint apparatus, imprint method, and article manufacturing method
JP2022123934A (ja) 基板保持部材、積層装置、および基板保持方法
KR20230152569A (ko) 리소그래피 장치, 리소그래피 방법 및 물품 제조 방법