TW201903934A - 對齊裝置及方法 - Google Patents

對齊裝置及方法 Download PDF

Info

Publication number
TW201903934A
TW201903934A TW107109746A TW107109746A TW201903934A TW 201903934 A TW201903934 A TW 201903934A TW 107109746 A TW107109746 A TW 107109746A TW 107109746 A TW107109746 A TW 107109746A TW 201903934 A TW201903934 A TW 201903934A
Authority
TW
Taiwan
Prior art keywords
workpiece
arm
edge
aligner
holding element
Prior art date
Application number
TW107109746A
Other languages
English (en)
Other versions
TWI751309B (zh
Inventor
亞席爾阿拉法斯 艾哈邁德
詹姆斯D 施特拉斯納
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201903934A publication Critical patent/TW201903934A/zh
Application granted granted Critical
Publication of TWI751309B publication Critical patent/TWI751309B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Orthopedics, Nursing, And Contraception (AREA)

Abstract

此處敘述對齊件及對齊工件之方法。工件的對齊件裝置包含對齊件夾盤,包括具有第一端及第二端的手臂,第一邊緣握持元件在第一端上且第二邊緣握持元件在第二端上,第一邊緣握持元件及第二邊緣握持元件間隔開來,以於工件之邊緣夾持工件;及定位於手臂之中心區域中的中心工件搬運元件,其中中心工件搬運元件具有一高度,使得中心工件搬運元件延伸而比第一邊緣握持元件及第二邊緣握持元件更高。

Description

對齊裝置及方法
本揭露案之實施例大致關於處理且對齊諸如基板及載具之工件,且更具體而言關於利用對齊件處理工件之裝置及方法。
工件搬運器常在半導體製造中使用,以傳送諸如基板(例如,半導體晶圓)的工件穿過製造的各種處理。半導體處理裝備利用工件或基板對齊件,以在半導體處理期間提供對齊功能及基板識別功能。此等工件或基板對齊件通大體固定於工廠界面或裝備前端模組的一端處(例如,在一側上)。製造商使用對齊件以將基板或載具「置中」且「定位」於特定方位中,以確保適當地追蹤處理效能/均勻性。
當前,對齊件為「中心接觸」或「邊緣/外部接觸」任一者的類型。中心接觸對齊件將使用任何實心基板或工件,例如晶圓或可夾持其他基板的載具基座(例如光罩)。然而。中心接觸對齊件無法使用在中間具有大的開口或孔洞的工件,例如載具護罩。需要邊緣/外部接觸對齊件以支撐在中心具有大孔洞的工件。第1圖顯示邊緣/外部接觸對齊件10,而可支撐為實心載具基座12之形式的實心工件或在其中具有開口16的護罩14之任一者。第2圖顯示中心接觸對齊件20,而可處理實心載具基座12或晶圓,但無法處理其中具有大的開口16之護罩14,因為中心接觸對齊件20並不具有足夠大的夾盤22以在護罩14中容納開口16。
當前邊緣/外部接觸對齊件的問題為其需要額外的內部機制,例如第1圖中所顯示的舉升銷15,來舉升晶圓或載具離開對齊件手臂17,使得對齊件手臂17可被移走。此額外的內部舉升機制產生顆粒且對對齊件設計增添複雜度及成本。提供可對齊的對齊件而無須內部舉升機制,且利用單一對齊件頭部設計而能夠中心接觸對齊或邊緣/外部接觸對齊將為實用的。
第一實施例提供一種工件的對齊件裝置,包含:對齊件夾盤,包括手臂,該手臂具有在X-Y平面中延伸的第一端及第二端;在第一端上的第一邊緣握持元件及在第二端上的第二邊緣握持元件,第一邊緣握持元件及第二邊緣握持元件間隔開來以於工件的邊緣處夾持工件;及定位於手臂之中心區域中的中心工件搬運元件,其中中心工件搬運元件具有在Z方向上的高度,使得中心工件搬運元件延伸而在Z方向上比第一邊緣握持元件及第二邊緣握持元件更高。
第二實施例提供一種工件的對齊件裝置,包含:對齊件夾盤,包括手臂,該手臂具有第一端及與第一端相對的第二端;在第一端上的第一邊緣握持元件及在第二端上的第二邊緣握持元件,第一邊緣握持元件及第二邊緣握持元件間隔開來,以於工件之邊緣處夾持工件;及控制器,控制手臂在盲區及傳送區之間旋轉,其中在盲區中當手臂在第一位置中時,避免機械手臂葉片裝載待第一邊緣握持元件及第二邊緣握持元件夾持的工件,且其中在傳送區中當手臂在第二位置中時,允許機械手臂葉片裝載待第一邊緣握持元件及第二邊緣握持元件夾持的工件。
範例方法實施例提供一種在對齊件裝置中處理工件之方法,此方法包含以下步驟:在機械手臂葉片上移動工件且將機械手臂葉片移動朝向對齊件夾盤,該對齊件夾盤包括手臂,該手臂具有第一端及相對於第一端的第二端,第一邊緣握持元件在第一端上且第二邊緣握持元件在第二端上,第一邊緣握持元件及第二邊緣握持元件間隔開來,以於工件之邊緣夾持工件,手臂可從第一徑向位置及第二徑向位置移動,其中第一徑向位置允許將工件裝載於對齊件夾盤上,且第二徑向位置不允許將工件裝載於對齊件夾盤上;檢查手臂是在第一徑向位置中還是在第二徑向位置中;及當手臂是在第一徑向位置中時,將工件裝載於對齊件夾盤上。
現將參考隨附圖式而於以下更完整說明根據本揭露案之各種實施例。此處所述的工件對齊件裝置及方法可以許多不同的形式體現,且不應考慮為限於此處所述之實施例。相反地,提供此等實施例使得本揭露案將更清楚且完整,且將對本領域中技藝人士更完整地傳達系統及方法的範疇。
為了方便及清楚起見,例如「頂部」、「底部」、「上部」、「下部」、「垂直」、「水平」、「橫向」、「縱向」之詞彙將在此處使用以說明此等部件之相對位置及定向,以及如圖式中呈現相對於裝備之部件的幾何及定向之組裝部分。此等詞彙將包括具體描述之字眼、衍生詞義、及類似含意及/或意義之字眼。
如此處所使用,以單數載明且以「一」或「一個」代表之元件或操作應理解為包括複數個元件或操作,直到明確載明排除的情況。此外,參考本揭露案的「一個實施例」並非意圖限制。額外的實施例亦可併入載明的特徵。
鑒於如上所述,本揭露案之一或更多實施例的優點在於藉由消除額外的內部工件舉升機制,來解決當前邊緣/外部接觸對齊件之問題,此內部工件舉升機制例如第1圖中所顯示的舉升銷15,用以舉升諸如晶圓或載具之工件離開對齊件手臂17,使得工件可被移除。在一或更多實施例中,此額外的內部工件舉升機制之移除減少顆粒。再者,額外的工件舉升機制對對齊件裝置增添複雜度及成本。因此,在一或更多實施例中,無須或不包括內部舉升機制的對齊件裝置提供簡化的對齊件裝置設計,且在諸如基板及載具之工件的對齊期間減少顆粒的產生。此外,一或更多實施例藉由提供具有夾盤之對齊件裝置,此夾盤利用單一對齊件頭部設計而能夠中心接觸對齊或邊緣/外部接觸對齊任一者,來簡化多元工件之對齊處理。
提供減少顆粒產生的對齊件裝置在極紫外光蝕刻(EUV),亦稱為軟式x射線投影光蝕刻,的元件製造中為非常有利的。EUV已開始取代深紫外光蝕刻而用於製造0.13微米及更小的最小特徵尺寸半導體元件。EUV系統藉由光的反射操作而非藉由光的透射操作。透過一連串鏡子或透鏡元件,以及反射元件或塗佈非反射吸收遮罩圖案的遮罩母片的使用,圖案化的光化性光反射至塗佈抗蝕劑的半導體晶圓上。
習知EUV母片處理可包括例如將152mm x 152mm的母片光罩放置在塗佈工具中,以施加各種塗佈。如所配置的,方形光罩夾於載具組件(例如,300mm載具組件)之中,以使得光罩如300mm晶圓一般傳送通過塗佈工具。載具組件可包括載具基座、光罩母片及載具護罩。在光罩的製造期間,載具組件可於製造處理期間對齊。
現參照第3圖,顯示工件對齊件裝置的部件。對齊件夾盤30包括在X-Y平面上延伸而具有第一端31及第二端33的手臂32。如此處所使用,「工件」代表基板,例如半導體晶圓、夾持諸如EUV光罩之基板的實心載具或護罩、或夾持諸如EUV光罩之基板而其中具有開口的載具或護罩。第一邊緣握持元件34在第一端31上,且第二邊緣握持元件36在第二端33上。第一邊緣握持元件34及第二邊緣握持元件36可以任何適合的方式固定在手臂32上,例如藉由使用所顯示的緊固件35。緊固件35可為螺釘、螺栓、螺絲、鉚釘或任何其他適合的緊固部件。第一邊緣握持元件34及第二邊緣握持元件36間隔開來,以於工件的邊緣處夾持工件。範例工件包括半導體基板、或載具/載具基座或護罩,例如第1圖及第2圖中所顯示的實心載具基座12或其中具有開口16的護罩14。在特定實施例中,當工件為300mm直徑的半導體基板、或300mm直徑的實心載具基座12、或300mm直徑的護罩14時,第一邊緣握持元件34及第二邊緣握持元件36以300mm間隔開來,以在對齊處理期間夾持半導體基板、實心載具基座12或護罩14之邊緣。當然,對於較小直徑的工件(小於300mm直徑),介於第一邊緣握持元件34及第二邊緣握持元件36之間的距離將減少成大約等於工件的直徑,且對於更大直徑的工件(大於300mm直徑)此距離將增加。本揭露案並非限於特定間距的邊緣握持元件。
對齊件夾盤30進一步包含定位於手臂32的中心區域40中的中心工件搬運元件38。在特定實施例中,中心工件搬運元件38在手臂32上置中。在所顯示的實施例中,中心工件搬運元件38以緊固件45緊固至手臂。在所顯示的實施例中,中心工件搬運元件38具有在Z方向上的高度(Hc),使得中心工件搬運元件38在Z方向上延伸,而比第一邊緣握持元件及第二邊緣握持元件在Z方向上延伸的距離Hg更高。
第30圖中所顯示的對齊件夾盤30可固定至不包括內部舉升機制的任何標準旋轉對齊件。對齊件夾盤可為被動的(僅摩擦力)或主動的(真空)之任一者。兩個接觸表面(第一邊緣握持元件34及第二邊緣握持元件36)及中心工件搬運元件38在不同的Z高度處,此舉幫助避免在兩個表面之間的顆粒交叉污染。
第4圖顯示包括第3圖中所顯示的對齊件夾盤30之工件對齊件裝置50,而具有實心載具基座12夾持定位於中心工件搬運元件38上。如第4圖中可見,載具基座12並未接觸第一邊緣握持元件34及第二邊緣握持元件36。工件對齊件裝置50並不包括分開的工件舉升機制,例如用以舉升工件離開手臂的舉升銷。
第一邊緣握持元件34及第二邊緣握持元件36配置成夾持平坦實心工件或其中具有中心開口的平坦工件之任一者。當中心工件搬運元件夾持平坦實心工件時,平坦實心工件不接觸第一邊緣握持元件34及第二邊緣握持元件36。
第5圖顯示包括第3圖中所顯示的對齊件夾盤30之工件對齊件裝置50,而具有其中具有中心開口16的平坦護罩14,此平坦護罩可為載具護罩的形式。如第6圖中可見,中心開口造成護罩14中藉由第一邊緣握持元件34及第二邊緣握持元件36夾持。因此,中心工件搬運元件38配置成夾持平坦實心工件,但並非配置成夾持其中具有中心開口的平坦工件。
第6圖及第7圖顯示工件對齊件裝置50,具有配置成將工件(未顯示)裝載於工件對齊件裝置50上的機械手臂葉片60。包括中央處理單元(CPU)64、記憶體66及支援電路68的控制器62耦合至工件對齊件裝置50,以促進工件裝載、工件卸載及放置於工件對齊件裝置50上之工件對齊的控制。記憶體66可為任何電腦可讀取媒體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位儲存,對工件對齊件裝置50或CPU 64為本端或遠端的。支援電路68耦合至CPU 64,用於以傳統的方式支援CPU 64。此等電路可包括快取、電源供應器、時鐘電路、輸入/輸出電路及子系統、及類似者。儲存於記憶體66中的軟體常式或一連串程式指令,當藉由CPU 64執行時,造成工件藉由機械手臂葉片60裝載於工件對齊件裝置50上,且造成對齊件裝置對齊工件。因此,控制器62控制手臂32的旋轉,且與控制器通訊而控制機械手臂葉片60的動作,以裝載工件。在某些實施例中,手臂32的旋轉及機械手臂葉片60的動作可藉由單一控制器62控制。
參照第6圖及第7圖,其顯示機械手臂葉片(第6圖)朝向對齊件移動,以使用第一邊緣握持元件34及第二邊緣握持元件36裝載工件(未顯示)。在第7圖中,手臂32以虛線顯示為32’及32’’,而顯示第一旋轉位置的第一旋轉位置或範圍,其中機械手臂葉片60可裝載藉由第一邊緣握持元件34及第二邊緣握持元件36待夾持的工件。第7圖顯示藉由箭頭72及74所描繪的旋轉位置之範圍,此範圍提供機械手臂葉片60可裝載工件而不會干擾第一邊緣握持元件34或第二邊緣握持元件36之旋轉位置。區71及73在第7圖中表示機械手臂葉片60接觸第一邊緣握持元件34及第二邊緣握持元件之任一者的區,且因此第一邊緣握持元件34或第二邊緣握持元件36將干擾機械手臂葉片60且避免工件的裝載。僅為一範例,當基板裝載於第一邊緣握持元件34及第二邊緣握持元件36上時,手臂32無法在距零度的起始位置之135度至225度中,因為機械手臂葉片將被第一邊緣握持元件34或第二邊緣握持元件停止或干擾。然而,當手臂32在225度及315度(或45度及135度)之間時,機械手臂葉片在第一位置中,而允許機械手臂葉片裝載工件且不受干擾。應注意此範例實施例中提供的位置並非限制,且可選擇其他位置以界定區71及區73。
因此,控制器62配置成當第一邊緣握持元件34及第二邊緣握持元件36在第二位置中而將干擾機械手臂葉片時,避免機械手臂葉片60裝載工件。記憶體儲存第一位置及第二位置。換句話說,控制器62控制手臂32在盲區及傳送區之間的旋轉,其中在盲區中當手臂在第一位置中時,避免機械手臂葉片60裝載待第一邊緣握持元件34及第二邊緣握持元件36夾持的工件,且其中在傳送區中當手臂在第二位置中時,允許機械手臂葉片60裝載待第一邊緣握持元件34及第二邊緣握持元件36夾持的工件。第一位置包括徑向位置的第一範圍,其中機械手臂葉片不會干擾第一邊緣握持元件或第二邊緣握持元件。第二位置包括徑向位置的第二範圍,其中機械手臂葉片會干擾第一邊緣握持元件或第二邊緣握持元件。
第8圖顯示機械手臂葉片60夾持其中具有中心開口16的平坦護罩14,以手臂32在第二位置中,此位置中藉由干擾機械手臂葉片而避免裝載。第9圖顯示機械手臂葉片60在第一位置中,其中機械手臂葉片60在工件對齊件裝置50的對齊及中心校準之後,可裝載具有開口的工件。第10圖顯示工件對齊件裝置50,具有機械手臂葉片60及手臂32在裝載工件的位置中。虛設工件(dummy workpiece)80顯示為具有工件對齊標誌81、83及葉片對齊標誌82、84,此等可用以將機械手臂葉片60對齊至虛設工件80。
第11圖描繪根據本揭露案之某些態樣,用於對齊工件之方法的範例實施例的流程圖。在某些實施例中,方法可部分地使用電腦系統實施或指導。如此,根據本揭露案的各種實施例,方法可提供系統、方法及電腦程式產品之可能實施的功能及/或操作。因此,流程圖中的方塊可代表模組、片段或部分的編碼,包含一或更多可執行指令用於實施特定邏輯功能。亦應理解,在某些替代實例中,方塊中所記之功能可能不會按照圖式中描繪的順序發生。舉例而言,連續顯示的兩個方塊實際上可能同時執行。亦應理解,方法200的方塊可藉由專用基於硬體的系統實施,用於實行特定功能或動作,或以專用硬體及電腦指令的結合而實施。
在第11圖中,方法200可包括將例如以基板或晶圓或載具或護罩形式之工件放置於機械手臂葉片上,其中如方塊201處所顯示,連接至機械手臂葉片的機械手臂準備好將晶圓遞交至工件對齊件裝置。如方塊202處所顯示,方法可進一步包括工件對齊件裝置檢查旋轉手臂之軸桿位置。如方塊204中所顯示,若位置視為「良好」,亦即如先前所述,當手臂定位於徑向位置使得第一邊緣握持元件34及第二邊緣握持元件36將不會干擾機械手臂葉片時,機械手臂葉片可將工件放置在對齊件裝置上(例如,遞交晶圓)且機械手臂葉片撤退。然而,如方塊207中所顯示,若手臂的位置視為「不佳」,則藉由控制器控制的對齊件裝置旋轉手臂以清除「盲區」,且將手臂放置於葉片及第一邊緣握持元件34及第二邊緣握持元件36將不會彼此干擾的徑向位置中。如方塊209中所顯示,工件對齊裝置將旋轉位置或角度記錄於記憶體中,如方塊211中所顯示,延伸機械手臂葉片以拾起工件,如方塊213中所顯示,控制器發送訊號使得對齊件裝置以順時針旋轉夾盤至儲存於記憶體中所記錄的角度或位置,如方塊215中所顯示,機械手臂葉片將工件放至對齊件裝置上,如方塊217中所顯示,對齊件裝置以逆時針旋轉來完成槽口對齊,且在方塊208及210中完成處理之前,如方塊219中所顯示,對齊件裝置驗證夾盤及手臂之旋轉位置。
因此,在一實施例中,一種在對齊件裝置中處理工件之方法包含以下步驟:在機械手臂葉片上移動工件且將機械手臂葉片移動朝向對齊件夾盤,該對齊件夾盤包括手臂,該手臂具有第一端及相對於第一端的第二端,第一邊緣握持元件在第一端上且第二邊緣握持元件在第二端上,第一邊緣握持元件及第二邊緣握持元件間隔開來,以於工件之邊緣處夾持工件,手臂可從第一徑向位置及第二徑向位置移動,其中第一徑向位置允許將工件裝載於對齊件夾盤上,且第二徑向位置不允許將工件裝載於對齊件夾盤上;檢查手臂是在第一徑向位置中還是在第二徑向位置中;及當手臂是在第一徑向位置中時,將工件裝載於對齊件夾盤上。
在某些實施例中,方法進一步包含在將工件裝載於夾盤上之後,旋轉手臂以槽口對齊工件。在一或更多實施例中,其中當手臂在第二徑向位置中時,方法進一步包含避免機械手臂葉片將工件裝載於對齊件夾盤上。在某些實施例中,方法進一步包含旋轉手臂直到手臂在第一徑向位置中,且當手臂在第一徑向位置中時,接著將工件裝載於夾盤上。方法進一步包含對齊工件。在一或更多實施例中,工件為載具上的EUV光罩母片。
如方塊205中所顯示,基板對齊件裝置實行槽口對齊。如方塊206中所顯示,控制器接著發送訊號且檢查軸桿位置,以確保手臂在徑向位置中,使得晶圓可從工件對齊件裝置移除。如方塊208中所顯示,若手臂在第一位置中,其中機械手臂葉片及第一邊緣握持元件34及第二邊緣握持元件36將不會干擾機械手臂葉片時,則機械手臂葉片延伸且拾起晶圓,且如方塊210中所顯示,機械手臂葉片撤退。然而,在於方塊205實行槽口對齊之後,且軸桿/手臂位置視為「不佳」時,控制器發送訊號使得工件對齊件裝置旋轉夾盤以清除盲區,盲區中在機械手臂葉片及之間存在干擾。
對齊工件之方法的另一態樣包含從第一地點移動工件至具有第一接觸表面的對齊件,以於邊緣處接觸工件,及具有第二接觸表面的對齊件,以於中心處接觸工件;旋轉工件對齊件離開盲區,其中機械手臂葉片無法將工件傳送至對齊件;對齊工件;旋轉工件離開盲區;及從對齊件移除基板。
如上所述,工件對齊件裝置及方法在諸如EUV遮罩的EUV裝置之製造中為有利的。第12圖描繪EUV遮罩生產系統100,其可與此處所述根據一或更多實施例的工件對齊件裝置50一起使用。EUV遮罩生產系統100可包括遮罩母片裝載及載具搬運系統102接收一或更多遮罩母片104。氣閘106提供對晶圓搬運真空腔室108的進出。在所顯示的實施例中,晶圓搬運真空腔室108含有兩個真空腔室,例如第一真空腔室110及第二真空腔室112。在第一真空腔室110中為第一晶圓搬運系統112,且在第二真空腔室112中為第二晶圓搬運系統116。
晶圓搬運真空腔室108可具有在其周圍的複數個通口,用於附接各種其他系統。在此非限制實施例中,第一真空腔室110具有除氣系統118、第一物理氣相沉積系統120、第二物理氣相沉積系統122及預清潔系統124。再者,第二真空腔室112可包括連接至此的第一多重陰極源126、可流動化學氣相沉積(FCVD)系統128、固化系統130及第二多重陰極源132。 第一晶圓搬運系統114能夠移動諸如晶圓134的晶圓在氣閘106及第一真空腔室110之周圍四周的各種系統之間,且以連續真空通過狹縫閥。第二晶圓搬運系統116能夠移動諸如晶圓136的晶圓在第二真空腔室112四周,同時以連續真空維持晶圓。整合的EUV遮罩生產系統100可與以下所述的光罩處理系統一起操作。工件對齊件裝置50可鄰接或靠近載具搬運系統102而利用。
此說明書整篇所引用之「一個實施例」、「某些實施例」、「一或更多實施例」或「一實施例」意味著與實施例連結說明的特定特徵、結構、材料或特性包括在本揭露案的至少一個實施例中。因此,此說明書整篇各處諸如「在一或更多實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的語句的存在並非絕對代表本揭露案的相同實施例。再者,特定特徵、結構、材料或特性可以任何適合的方式結合於一或更多實施例中。
儘管已參考特定實施例說明本揭露案,應理解此等實施例僅為原理的圖示及本揭露案之應用。對技藝人士而言可對本揭露案之方法及裝置作成各種改變及修改而不會悖離本揭露案之精神及範疇為顯而易見的。因此,本揭露案意圖包括落入隨附申請專利範圍及其均等之範疇之中的改變及修改。
10‧‧‧邊緣/外部接觸對齊件
12‧‧‧實心載具基座
14‧‧‧護罩
15‧‧‧舉升銷
16‧‧‧開口
17‧‧‧對齊件手臂
20‧‧‧中心接觸對齊件
22‧‧‧夾盤
30‧‧‧對齊件夾盤
31‧‧‧第一端
32‧‧‧手臂
33‧‧‧第二端
34‧‧‧第一邊緣握持元件
35‧‧‧緊固件
36‧‧‧第二邊緣握持元件
38‧‧‧中心工件搬運元件
40‧‧‧中心區域
45‧‧‧緊固件
50‧‧‧工件對齊件裝置
60‧‧‧機械手臂葉片
62‧‧‧控制器
64‧‧‧中央處理單元
66‧‧‧記憶體
68‧‧‧支援電路
71‧‧‧區
72‧‧‧區
73‧‧‧區
74‧‧‧區
80‧‧‧虛設工件
81‧‧‧工件對齊標誌
82‧‧‧葉片對齊標誌
83‧‧‧工件對齊標誌
84‧‧‧葉片對齊標誌
100‧‧‧EUV遮罩生產系統
102‧‧‧載具搬運系統
104‧‧‧遮罩母片
106‧‧‧氣閘
108‧‧‧晶圓搬運真空腔室
110‧‧‧第一真空腔室
112‧‧‧第二真空腔室
114‧‧‧第一晶圓搬運系統
116‧‧‧第二晶圓搬運系統
118‧‧‧除氣系統
120‧‧‧第一物理氣相沉積系統
122‧‧‧第二物理氣相沉積系統
124‧‧‧預清潔系統
126‧‧‧第一多重陰極源
128‧‧‧可流動化學氣相沉積系統
130‧‧‧固化系統
132‧‧‧第二多重陰極源
134‧‧‧晶圓
136‧‧‧晶圓
200‧‧‧方法
201-219‧‧‧方塊
上述本揭露案所載明之實施例可詳細理解,而如上摘要的本揭露案之更特定說明可參考實施例,且某些實施例圖示於隨附圖式中。然而,應理解隨附圖式僅圖示本揭露案的實施例,且因此並非考慮為限制本揭露案之範疇,因為本揭露案認可其他均等效果的實施例。
第1圖為外部/邊緣接觸對齊件的透視圖;
第2圖為中心接觸對齊件的透視圖;
第3圖為根據本揭露案之實施例的對齊件頭部或夾盤之透視圖;
第4圖為根據本揭露案之實施例,具有承載基座支撐於其上的對齊件之側視圖;
第5圖為根據本揭露案之實施例,具有開口的載具護罩支撐於其上的對齊件裝置之側視圖;
第6圖為根據本揭露案之實施例,具有對齊件在一位置中的對齊件裝置之頂部視圖,其中在此位置中機械手臂葉片無法裝載基板或載具;
第7圖為根據本揭露案之實施例,具有手臂在一位置中的對齊件裝置之頂部視圖,其中在此位置中機械手臂葉片可裝載基板或載具;
第8圖為根據本揭露案之實施例,具有手臂在一位置中的對齊件裝置之頂部視圖,其中在此位置中機械手臂葉片無法裝載具有開口的載具;
第9圖為根據本揭露案之實施例,具有手臂在一位置中的對齊件裝置之頂部視圖,其中在此位置中機械手臂葉片可裝載具有開口的載具;
第10圖為根據本揭露案之實施例的對齊件裝置及校準基板之頂部視圖;
第11圖為流程圖,根據本揭露案之實施例圖示用於操作對齊件裝置之範例方法;
第12圖根據本揭露案的某些態樣,顯示範例塗佈工具之概要圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種工件的對齊件裝置,包含: 一對齊件夾盤,包括一手臂,該手臂具有在一X-Y平面中延伸的一第一端及一第二端;在該第一端上的一第一邊緣握持元件及在該第二端上的一第二邊緣握持元件,該第一邊緣握持元件及該第二邊緣握持元件間隔開來,以於一工件的邊緣處夾持該工件;及定位於該手臂之一中心區域中的一中心工件搬運元件,其中該中心工件搬運元件具有在一Z方向上的一高度,使得該中心工件搬運元件延伸而在該Z方向上比該第一邊緣握持元件及該第二邊緣握持元件更高。
  2. 如請求項1所述之工件的對齊件裝置,其中該裝置不包括用以抬升該工件離開該手臂之一分開的工件抬升機制。
  3. 如請求項2所述之工件的對齊件裝置,其中該第一邊緣握持元件及該第二邊緣握持元件配置成夾持一平坦實心工件及具有一中心開口的一平坦工件之一者。
  4. 如請求項3所述之工件的對齊件裝置,其中該中心工件搬運元件配置成夾持一平坦實心工件,但並未配置成夾持具有一中心開口的一平坦工件。
  5. 如請求項4所述之工件的對齊件裝置,其中當該中心工件搬運元件夾持一平坦實心工件時,該平坦實心工件並未接觸該第一邊緣握持元件及該第二邊緣握持元件。
  6. 如請求項5所述之工件的對齊件裝置,進一步包含一控制器,該控制器控制該手臂的旋轉。
  7. 如請求項6所述之工件的對齊件裝置,其中該控制器配置成旋轉該等手臂至一第一位置,在該第一位置中一機械手臂葉片可裝載待該第一邊緣握持元件及該第二邊緣握持元件夾持的該工件。
  8. 如請求項7所述之工件的對齊件裝置,其中當該第一邊緣握持元件及該第二邊緣握持元件在一第二位置中而將干擾該機械手臂葉片時,該控制器配置成避免該機械手臂葉片裝載工件。
  9. 如請求項8所述之工件的對齊件裝置,進一步包含一記憶體,以儲存該第一位置及該第二位置。
  10. 一種工件的對齊件裝置,包含: 一對齊件夾盤,包括一手臂,該手臂具有一第一端及與該第一端相對的一第二端;在該第一端上的一第一邊緣握持元件及在該第二端上的一第二邊緣握持元件,該第一邊緣握持元件及該第二邊緣握持元件間隔開來,以於一工件之邊緣處夾持該工件;及一控制器,控制該手臂在一盲區及一傳送區之間旋轉,在該盲區中當該手臂在一第一位置中時,避免一機械手臂葉片裝載待該第一邊緣握持元件及該第二邊緣握持元件夾持的該工件,且在該傳送區中當該手臂在一第二位置中時,允許該機械手臂葉片裝載待該第一邊緣握持元件及該第二邊緣握持元件夾持的該工件。
  11. 如請求項10所述之工件的對齊件裝置,進一步包含一記憶體,以儲存該第一位置及該第二位置。
  12. 如請求項11所述之工件的對齊件裝置,其中該第一位置包括徑向位置的一第一範圍,在該第一範圍中該機械手臂葉片不會干擾該第一邊緣握持元件或該第二邊緣握持元件。
  13. 如請求項12所述之工件的對齊件裝置,其中該第二位置包括徑向位置的一第二範圍,在該第二範圍中該機械手臂葉片會干擾該第一邊緣握持元件或該第二邊緣握持元件。
  14. 如請求項13所述之工件的對齊件裝置,進一步包含一記憶體,該記憶體儲存該第一位置及該第二位置。
  15. 一種在一對齊件裝置中處理一工件之方法,該方法包含以下步驟: 在一機械手臂葉片上移動一工件且將該機械手臂葉片移動朝向一對齊件夾盤,該對齊件夾盤包括一手臂,該手臂具有一第一端及相對於該第一端的一第二端,一第一邊緣握持元件在該第一端上且一第二邊緣握持元件在該第二端上,該第一邊緣握持元件及該第二邊緣握持元件間隔開來,以於該工件之邊緣夾持該工件,該手臂可從一第一徑向位置及一第二徑向位置移動,其中該第一徑向位置允許將該工件裝載於該對齊件夾盤上,且該第二徑向位置不允許將該工件裝載於該對齊件夾盤上;檢查該手臂是在該第一徑向位置中還是在該第二徑向位置中;及當該手臂是在該第一徑向位置中時,將該工件裝載於該對齊件夾盤上。
  16. 如請求項15所述之方法,進一步包含以下步驟:在將該工件裝載於該對齊件夾盤上之後,旋轉該手臂以對齊該工件。
  17. 如請求項15所述之方法,其中當該手臂在該第二徑向位置中時,該方法進一步包含以下步驟:避免該機械手臂葉片將該工件裝載於該對齊件夾盤上。
  18. 如請求項15所述之方法,進一步包含以下步驟:旋轉該手臂直到該手臂在該第一徑向位置中,且當該手臂在該第一徑向位置中時,接著將該工件裝載於該對齊件夾盤上。
  19. 如請求項18所述之方法,進一步包含以下步驟:對齊該工件。
  20. 如請求項19所述之方法,其中該工件為在一載具上的一EUV光罩母片。
TW107109746A 2017-04-07 2018-03-22 對齊裝置及方法 TWI751309B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/481,679 US10522381B2 (en) 2017-04-07 2017-04-07 Aligner apparatus and methods
US15/481,679 2017-04-07

Publications (2)

Publication Number Publication Date
TW201903934A true TW201903934A (zh) 2019-01-16
TWI751309B TWI751309B (zh) 2022-01-01

Family

ID=63711229

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107109746A TWI751309B (zh) 2017-04-07 2018-03-22 對齊裝置及方法

Country Status (7)

Country Link
US (1) US10522381B2 (zh)
JP (1) JP7169987B2 (zh)
KR (1) KR102493387B1 (zh)
CN (1) CN110582844B (zh)
SG (1) SG11201909298UA (zh)
TW (1) TWI751309B (zh)
WO (1) WO2018187137A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11047795B2 (en) * 2019-06-03 2021-06-29 Formfactor, Inc. Calibration chucks for optical probe systems, optical probe systems including the calibration chucks, and methods of utilizing the optical probe systems
JP7454959B2 (ja) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 基板搬送システムおよび大気搬送モジュール
CN115552583A (zh) 2020-06-05 2022-12-30 日商乐华股份有限公司 晶圆搬运装置以及晶圆搬运方法
JP2022104056A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5566466A (en) * 1994-07-01 1996-10-22 Ontrak Systems, Inc. Spindle assembly with improved wafer holder
US6318957B1 (en) 1998-07-10 2001-11-20 Asm America, Inc. Method for handling of wafers with minimal contact
JP3254584B2 (ja) 2000-07-14 2002-02-12 東京エレクトロン株式会社 処理システム
JP3854083B2 (ja) * 2000-10-12 2006-12-06 株式会社荏原製作所 半導体基板製造装置
US6556887B2 (en) 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
JP4598325B2 (ja) * 2001-08-21 2010-12-15 株式会社岡本工作機械製作所 基板用仮置台および基板の搬送方法
US20030053904A1 (en) * 2001-09-14 2003-03-20 Naofumi Kirihata Wafer aligner
JP4552222B2 (ja) 2001-09-21 2010-09-29 ムラテックオートメーション株式会社 ウェハのアライナー装置
JP3674864B2 (ja) * 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US7019817B2 (en) * 2003-07-14 2006-03-28 Kawasaki Jukogyo Kabuishiki Kaisha Edge-holding aligner
JP3944135B2 (ja) 2003-07-14 2007-07-11 川崎重工業株式会社 エッジ保持アライナ
US8545165B2 (en) 2005-03-30 2013-10-01 Brooks Automation, Inc. High speed substrate aligner apparatus
US7712808B2 (en) * 2005-09-29 2010-05-11 Brooks Automation, Inc. End effector with centering grip
CN101039537A (zh) * 2006-03-17 2007-09-19 中芯国际集成电路制造(上海)有限公司 放置热电阻温度检测器晶片的高温晶片夹持器
KR100751496B1 (ko) 2006-05-25 2007-08-23 이종대 반도체 웨이퍼의 진공 및 에지 그립 얼라인 장치 및 그것을이용한 얼라인방법
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US20090110532A1 (en) * 2007-10-29 2009-04-30 Sokudo Co., Ltd. Method and apparatus for providing wafer centering on a track lithography tool
JP2013006222A (ja) * 2009-10-14 2013-01-10 Rorze Corp 薄板状物の把持装置、および薄板状物の把持方法
US8851816B2 (en) 2011-04-07 2014-10-07 Microtronic, Inc. Apparatus, system, and methods for weighing and positioning wafers
CN106548969B (zh) * 2015-09-22 2019-08-23 北京北方华创微电子装备有限公司 夹持装置及半导体加工设备

Also Published As

Publication number Publication date
KR20190128742A (ko) 2019-11-18
KR102493387B1 (ko) 2023-01-27
TWI751309B (zh) 2022-01-01
CN110582844A (zh) 2019-12-17
SG11201909298UA (en) 2019-11-28
WO2018187137A1 (en) 2018-10-11
US10522381B2 (en) 2019-12-31
JP7169987B2 (ja) 2022-11-11
CN110582844B (zh) 2023-08-22
JP2020517104A (ja) 2020-06-11
US20180294175A1 (en) 2018-10-11

Similar Documents

Publication Publication Date Title
TW201903934A (zh) 對齊裝置及方法
JP6285275B2 (ja) 基板処理装置および基板処理方法
US8751047B2 (en) Systems and methods for calibrating end effector alignment in a plasma processing system
US20060104635A1 (en) Substrate processing apparatus and substrate processing method
US20090110532A1 (en) Method and apparatus for providing wafer centering on a track lithography tool
US7658560B2 (en) Substrate processing apparatus
JP2018003085A (ja) 基板ホルダ、電子デバイス製造装置において基板を搬送する搬送システム、および電子デバイス製造装置
US20200286762A1 (en) Substrate transporter and substrate transport method
CN104733355A (zh) 带有集成对准器的机器人
US10340175B2 (en) Substrate transfer teaching method and substrate processing system
JP5572560B2 (ja) 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
TW202209523A (zh) 半導體處理中用以控制晶圓彎曲的背側沉積應力調整
JP2020517104A5 (zh)
JP2002151568A (ja) 被処理体の処理システム及び搬送方法
KR101993975B1 (ko) 피처리 기판의 탑재 위치의 설정 방법 및 성막 시스템
KR102351354B1 (ko) 플로팅 웨이퍼 척
JP5859263B2 (ja) 荷電粒子ビーム描画装置および荷電粒子ビーム描画方法
TWI719228B (zh) 微光刻中的基板加載
JP5575169B2 (ja) 荷電粒子ビーム描画装置および荷電粒子ビーム描画方法
JP2005536885A (ja) ステージ上で基板を整列するための方法
JP2022101596A (ja) 積層基板の製造方法および製造装置
US20220415673A1 (en) Bonding system and inspection method of inspecting combined substrate
JP2018056328A (ja) アライメント装置、同アライメント装置を備えた半導体ウエハ処理装置及びアライメント方法
JP2020004745A (ja) 搬送装置および搬送方法
WO2015040915A1 (ja) 搬入出装置および搬入出方法