TW202203377A - 半導體元件以及其形成方法 - Google Patents
半導體元件以及其形成方法 Download PDFInfo
- Publication number
- TW202203377A TW202203377A TW110121584A TW110121584A TW202203377A TW 202203377 A TW202203377 A TW 202203377A TW 110121584 A TW110121584 A TW 110121584A TW 110121584 A TW110121584 A TW 110121584A TW 202203377 A TW202203377 A TW 202203377A
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- layer
- die
- bonding
- wafer
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 79
- 238000000034 method Methods 0.000 title claims description 167
- 239000000758 substrate Substances 0.000 claims abstract description 253
- 238000009826 distribution Methods 0.000 claims abstract description 63
- 230000008569 process Effects 0.000 claims description 106
- 239000004020 conductor Substances 0.000 claims description 42
- 229910052751 metal Inorganic materials 0.000 claims description 35
- 239000002184 metal Substances 0.000 claims description 35
- 239000003989 dielectric material Substances 0.000 claims description 34
- 230000004888 barrier function Effects 0.000 claims description 32
- 238000009792 diffusion process Methods 0.000 claims description 27
- 229910000679 solder Inorganic materials 0.000 claims description 27
- 238000005538 encapsulation Methods 0.000 claims description 21
- 239000008393 encapsulating agent Substances 0.000 claims description 17
- 239000008187 granular material Substances 0.000 claims 1
- 239000010410 layer Substances 0.000 description 381
- 235000012431 wafers Nutrition 0.000 description 161
- 238000001465 metallisation Methods 0.000 description 83
- 239000000463 material Substances 0.000 description 72
- 239000011295 pitch Substances 0.000 description 42
- 229920002120 photoresistant polymer Polymers 0.000 description 28
- 239000010949 copper Substances 0.000 description 24
- 229910052802 copper Inorganic materials 0.000 description 21
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 20
- 239000011229 interlayer Substances 0.000 description 20
- 238000005229 chemical vapour deposition Methods 0.000 description 18
- 230000015572 biosynthetic process Effects 0.000 description 17
- 239000011162 core material Substances 0.000 description 16
- 238000005530 etching Methods 0.000 description 15
- 239000005360 phosphosilicate glass Substances 0.000 description 14
- 238000002161 passivation Methods 0.000 description 13
- 238000005240 physical vapour deposition Methods 0.000 description 13
- 239000010936 titanium Substances 0.000 description 13
- 229910052719 titanium Inorganic materials 0.000 description 13
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 12
- 229910052782 aluminium Inorganic materials 0.000 description 11
- 238000012545 processing Methods 0.000 description 11
- 239000004593 Epoxy Substances 0.000 description 10
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 10
- 229910052721 tungsten Inorganic materials 0.000 description 10
- 230000001070 adhesive effect Effects 0.000 description 9
- 239000005388 borosilicate glass Substances 0.000 description 9
- 239000003990 capacitor Substances 0.000 description 9
- 229910052737 gold Inorganic materials 0.000 description 9
- 239000010931 gold Substances 0.000 description 9
- 238000000059 patterning Methods 0.000 description 9
- 238000004528 spin coating Methods 0.000 description 9
- 239000010937 tungsten Substances 0.000 description 9
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 8
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000002356 single layer Substances 0.000 description 8
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 7
- 239000000853 adhesive Substances 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 230000009977 dual effect Effects 0.000 description 7
- 238000007747 plating Methods 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 229910052709 silver Inorganic materials 0.000 description 7
- 239000004332 silver Substances 0.000 description 7
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- 230000008878 coupling Effects 0.000 description 6
- 238000010168 coupling process Methods 0.000 description 6
- 238000005859 coupling reaction Methods 0.000 description 6
- 238000009713 electroplating Methods 0.000 description 6
- 238000003475 lamination Methods 0.000 description 6
- 229920002577 polybenzoxazole Polymers 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 5
- 238000007772 electroless plating Methods 0.000 description 5
- 238000000227 grinding Methods 0.000 description 5
- 239000007788 liquid Substances 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000004806 packaging method and process Methods 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 4
- 239000004642 Polyimide Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 230000004907 flux Effects 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 238000000465 moulding Methods 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 238000005498 polishing Methods 0.000 description 4
- 229920001721 polyimide Polymers 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 238000012360 testing method Methods 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 239000002131 composite material Substances 0.000 description 3
- 238000000748 compression moulding Methods 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 230000017525 heat dissipation Effects 0.000 description 3
- 238000007726 management method Methods 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- 238000007639 printing Methods 0.000 description 3
- 239000011347 resin Substances 0.000 description 3
- 229920005989 resin Polymers 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 238000004381 surface treatment Methods 0.000 description 3
- 229910052718 tin Inorganic materials 0.000 description 3
- 238000001721 transfer moulding Methods 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- 229910005540 GaP Inorganic materials 0.000 description 2
- 229910000673 Indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 230000033228 biological regulation Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 229910003460 diamond Inorganic materials 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- -1 for example Substances 0.000 description 2
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000012778 molding material Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 239000004964 aerogel Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 238000005553 drilling Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 239000011152 fibreglass Substances 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 239000003365 glass fiber Substances 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- MSNOMDLPLDYDME-UHFFFAOYSA-N gold nickel Chemical compound [Ni].[Au] MSNOMDLPLDYDME-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000005001 laminate film Substances 0.000 description 1
- LQBJWKCYZGMFEV-UHFFFAOYSA-N lead tin Chemical compound [Sn].[Pb] LQBJWKCYZGMFEV-UHFFFAOYSA-N 0.000 description 1
- 238000005272 metallurgy Methods 0.000 description 1
- 238000003801 milling Methods 0.000 description 1
- BSIDXUHWUKTRQL-UHFFFAOYSA-N nickel palladium Chemical compound [Ni].[Pd] BSIDXUHWUKTRQL-UHFFFAOYSA-N 0.000 description 1
- 239000008188 pellet Substances 0.000 description 1
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000011135 tin Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/16—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/50—Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5286—Arrangements of power or ground buses
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5386—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L24/06—Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L24/19—Manufacturing methods of high density interconnect preforms
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
- H01L24/92—Specific sequence of method steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L25/0652—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L25/0657—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/10—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
- H01L25/105—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/50—Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0237—Disposition of the redistribution layers
- H01L2224/02372—Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0237—Disposition of the redistribution layers
- H01L2224/02373—Layout of the redistribution layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/04105—Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05541—Structure
- H01L2224/05546—Dual damascene structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/06—Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
- H01L2224/061—Disposition
- H01L2224/0618—Disposition being disposed on at least two different sides of the body, e.g. dual array
- H01L2224/06181—On opposite sides of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/0805—Shape
- H01L2224/08057—Shape in side view
- H01L2224/08058—Shape in side view being non uniform along the bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08121—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08135—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/08145—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08151—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/08221—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/08265—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/09—Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
- H01L2224/091—Disposition
- H01L2224/0918—Disposition being disposed on at least two different sides of the body, e.g. dual array
- H01L2224/09181—On opposite sides of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/12105—Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16135—Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/16145—Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16151—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/16221—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/16265—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L2224/23—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
- H01L2224/24—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
- H01L2224/241—Disposition
- H01L2224/24135—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/24137—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L2224/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
- H01L2224/321—Disposition
- H01L2224/32135—Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/32145—Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L2224/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
- H01L2224/321—Disposition
- H01L2224/32151—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/32221—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/32225—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/42—Wire connectors; Manufacturing methods related thereto
- H01L2224/47—Structure, shape, material or disposition of the wire connectors after the connecting process
- H01L2224/48—Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
- H01L2224/481—Disposition
- H01L2224/48151—Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/48221—Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/48225—Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
- H01L2224/48227—Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73201—Location after the connecting process on the same surface
- H01L2224/73203—Bump and layer connectors
- H01L2224/73204—Bump and layer connectors the bump connector being embedded into the layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73251—Location after the connecting process on different surfaces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73251—Location after the connecting process on different surfaces
- H01L2224/73259—Bump and HDI connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
- H01L2224/80013—Plasma cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/80048—Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80053—Bonding environment
- H01L2224/80095—Temperature settings
- H01L2224/80096—Transient conditions
- H01L2224/80097—Heating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80053—Bonding environment
- H01L2224/80095—Temperature settings
- H01L2224/80099—Ambient temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/8034—Bonding interfaces of the bonding area
- H01L2224/80357—Bonding interfaces of the bonding area being flush with the surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80801—Soldering or alloying
- H01L2224/8082—Diffusion bonding
- H01L2224/8083—Solid-solid interdiffusion
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80895—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80896—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/81—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
- H01L2224/818—Bonding techniques
- H01L2224/81801—Soldering or alloying
- H01L2224/81815—Reflow soldering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
- H01L2224/831—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
- H01L2224/83102—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus using surface energy, e.g. capillary forces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
- H01L2224/92—Specific sequence of method steps
- H01L2224/9202—Forming additional connectors after the connecting process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
- H01L2224/92—Specific sequence of method steps
- H01L2224/922—Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
- H01L2224/9222—Sequential connecting processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/93—Batch processes
- H01L2224/94—Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/93—Batch processes
- H01L2224/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/93—Batch processes
- H01L2224/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
- H01L2224/96—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/0651—Wire or wire-like electrical connections from device to substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06513—Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06517—Bump or bump-like direct electrical connections from device to substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06524—Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06527—Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06541—Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06555—Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06555—Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
- H01L2225/06568—Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06582—Housing for the assembly, e.g. chip scale package [CSP]
- H01L2225/06586—Housing with external bump or bump-like connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06589—Thermal management, e.g. cooling
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/10—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
- H01L2225/1005—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/1011—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
- H01L2225/1017—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
- H01L2225/1035—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/10—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
- H01L2225/1005—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/1011—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
- H01L2225/1041—Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/10—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
- H01L2225/1005—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/1011—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
- H01L2225/1047—Details of electrical connections between containers
- H01L2225/1058—Bump or bump-like electrical connections, e.g. balls, pillars, posts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/481—Internal lead connections, e.g. via connections, feedthrough structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/94—Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
- H01L24/96—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/15—Details of package parts other than the semiconductor or other solid state devices to be connected
- H01L2924/151—Die mounting substrate
- H01L2924/153—Connection portion
- H01L2924/1531—Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
- H01L2924/15311—Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/15—Details of package parts other than the semiconductor or other solid state devices to be connected
- H01L2924/181—Encapsulation
- H01L2924/1815—Shape
- H01L2924/1816—Exposing the passive side of the semiconductor or solid-state body
- H01L2924/18161—Exposing the passive side of the semiconductor or solid-state body of a flip chip
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/30—Technical effects
- H01L2924/38—Effects and problems related to the device integration
- H01L2924/381—Pitch distance
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Geometry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
一種半導體元件包括:第一晶粒;第二晶粒,位於第一晶粒上;以及第三晶粒,位於第二晶粒上,第二晶粒夾置於第一晶粒與第三晶粒之間。第一晶粒包括:第一基底;以及第一內連結構,位於第一基底的主動側上。第二晶粒包括:第二基底;第二內連結構,位於第二基底的背側上;以及配電網路(PDN)結構,位於第二內連結構上使得第二內連結構夾置於配電網路結構與第二基底之間。
Description
由於各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度的不斷提高,半導體行業已經歷快速發展。在很大程度上,積體密度的提高源於最小特徵大小(minimum feature size)的迭代減小,此使得能夠將更多的組件整合至給定的面積中。隨著對日益縮小的電子元件需求的增長,出現了對更小且更具創造性的半導體晶粒封裝技術的需求。此種封裝系統的一個實例是疊層封裝(Package-on-Package,PoP)技術。在PoP元件中,頂部半導體封裝堆疊於底部半導體封裝體的頂部上,以提供高積體水平及高組件密度。PoP技術一般能夠使得在印刷電路板(printed circuit board,PCB)上生產具有增強的功能性及小的佔用面積(footprint)的半導體元件。
以下揭露內容提供用於實施本發明的不同特徵的諸多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於……之下(beneath)」、「位於……下方(below)」、「下部的(lower)」、「位於……上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個組件或特徵與另一(其他)組件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括元件在使用或操作中的不同定向。裝置可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
根據一些實施例,可提供具有更佳電源效率的多個堆疊層次(例如三個或更多層次)的三維積體電路(3D integrated circuit,3DIC)封裝體。堆疊系統的電源效率可藉由積體化電源軌條(power rail)或配電網路(power distribution network,PDN)在3DIC封裝體的中間區中的至少一個電源軌條晶片(power rail chip)的背側上來改善。電源軌條晶片可為與沿著電源軌條晶片的背側形成的PDN整合在一起的功能晶片,電源軌條晶片可藉由無凸塊接合及/或微凸塊接合而接合至其他晶片。3DIC封裝體中的頂部晶片可透過面對面(face-to-face,F2F)堆疊的方式接合,且3DIC封裝體的其他接合可為面對背(face-to-back,F2B)堆疊。3DIC封裝體的接合節距可處於自最頂部層次(topmost tier)至最底部層次(bottommost tier)單調遞增(monotonically increase)的次序,其中最頂部接合層次具有最精細(finest)的接合節距且最底部接合層次具有最粗糙(coarsest)的接合節距。
圖1A至圖18示出根據一些實施例的用於形成在晶圓至晶圓(wafer-to-wafer,W2W)規模具有三個層次的多個積體電路晶圓的封裝組件的製程。
圖1A至圖2示出根據一些實施例的製造積體電路晶圓50(亦稱為頂部晶圓50)的各種中間步驟。圖1B示出圖1A所示區61的詳細視圖。首先參照圖1A,頂部晶圓50可為邏輯晶圓(例如,中央處理單元(central processing unit,CPU)、圖形處理單元(graphics processing unit,GPU)、晶片上系統(system-on-a-chip,SoC)、應用處理器(application processor,AP)、微控制器、應用專用積體電路(application specific integrated circuit,ASIC)、現場可程式閘陣列(field programmable gate array,FPGA)等)、記憶體晶圓(例如,動態隨機存取記憶體(dynamic random access memory,DRAM)晶圓、靜態隨機存取記憶體(static random access memory,SRAM)晶圓、非揮發性記憶體(Non-Volatile Memory,NVM)晶圓等)、射頻(radio frequency,RF)晶圓、感測器晶圓、微機電系統(micro-electro-mechanical-system,MEMS)晶圓、訊號處理晶圓(例如,數位訊號處理(digital signal processing,DSP)晶圓)、前端晶圓(例如,類比前端(analog front-end,AFE)晶圓)、類似晶圓或它們的組合。
頂部晶圓50可包括在隨後的步驟中被單體化以形成多個積體電路封裝體的多個不同元件區(例如,沿著切割道51)。頂部晶圓50可根據適用的製造製程進行處理以形成積體電路。舉例而言,在一些實施例中,頂部晶圓50包括半導體基底52(例如經摻雜或未經摻雜的矽)或者絕緣體上半導體(semiconductor-on-insulator,SOI)基底的主動層。半導體基底52可包含:其他半導體材料,例如鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或它們的組合。亦可使用例如多層式基底(multi-layered substrate)或梯度基底(gradient substrate)等其他基底。半導體基底52具有有時稱為前側的主動表面(例如,圖1中面朝上的表面)及有時稱為背側的非主動表面(例如,圖1中面朝下的表面)。
半導體基底52的前表面處可形成有元件層53,元件層53包括多個元件(由電晶體表示)54及層間介電質(inter-layer dielectric,ILD)56。元件54可為主動元件(例如,電晶體、二極體等)、電容器、電阻器等。層間介電質56位於半導體基底52的前表面之上。層間介電質56環繞且可覆蓋元件54。層間介電質56可包括由例如磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、摻雜硼的磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、未經摻雜的矽酸鹽玻璃(undoped Silicate Glass,USG)或類似物等材料形成的一或多個介電層。
多個導電插塞58延伸穿過層間介電質56,以對元件54進行電性耦合及實體耦合。舉例而言,當元件54是電晶體時,導電插塞58可對電晶體的閘極及源極/汲極區進行耦合。導電插塞58可由鎢、鈷、鎳、銅、銀、金、鋁、類似物或它們的組合形成。
圖1B示出在層間介電質56及導電插塞58之上形成半全域內連件(semi-global interconnect)60(亦稱為內連結構60)。半全域內連件60對元件54進行內連以形成積體電路。圖1B示出如圖1A中所示的區61的詳細視圖,示出位於層間介電質56及導電插塞58的頂部部分之上的半全域內連件60。出於例示的目的,圖1B將半全域內連件60示出為具有第一內連層級60A及第二內連層級60B。其他實施例可具有更多或更少的層級。
半全域內連件60包括嵌入金屬間介電(intermetal dielectric,IMD)層中的多個導通孔及多個導電線。除了在各種導電元件之間提供絕緣之外,金屬間介電層可包括一或多個介電蝕刻停止層,以控制在金屬間介電層中形成開口的蝕刻製程。一般而言,導通孔在垂直方向上傳導電流並用於將位於在垂直方向上相鄰層級的兩個導電特徵電性連接,而導電線在橫向方向上傳導電流並用於在一個層級內分配電性訊號及電力。在圖1B中所示的後端製程(back end of line,BEOL)方案中,多個導通孔65A(又稱導電特徵)將導電插塞58連接至多個導電線67A(又稱導電特徵),且在隨後的層級處導通孔將下部的導通線連接至上部的導通線(例如,可由導通孔65B連接的一對導電線67A及67B)。其他實施例可採用不同的方案。舉例而言,可自第二層級省略導通孔65A,且導電插塞58可被配置成直接連接至導電線67A。
仍參照圖1B,半全域內連件60的第一內連層級63A可使用例如雙鑲嵌製程(dual damascene process)流程來形成。首先,可使用氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)、未經摻雜的矽酸鹽玻璃(USG)、低介電常數(low-k)介電質(例如,氟矽酸鹽玻璃(fluorosilicate glass,FSG)、碳氧化矽(silicon oxycarbide,SiOCH)、摻雜碳的氧化物(carbon-doped oxide,CDO)、可流動氧化物或多孔氧化物(例如,乾凝膠/氣凝膠))或類似物、或它們的組合來沈積用於形成金屬間介電層63A的介電堆疊。用於形成第一金屬間介電層63A的介電材料可使用任何合適的方法(例如化學氣相沈積(chemical vapor deposition,CVD)、物理氣相沈積(physical vapor deposition,PVD)、原子層沈積(atomic layer deposition,ALD)、電漿增強型原子層沈積(plasma enhanced atomic layer deposition,PEALD)、電漿增強型化學氣相沈積(plasma enhanced chemical vapor deposition,PECVD)、次常壓化學氣相沈積(subatmospheric chemical vapor deposition,SACVD)、可流動化學氣相沈積(flowable chemical vapor deposition,FCVD)、旋轉塗佈及/或類似方法或它們的組合)來沈積。在一些實施例中,金屬間介電層63A包括位於介電堆疊的底部處的蝕刻停止層(未示出)。蝕刻停止層包括具有蝕刻速率不同於上覆材料的蝕刻速率的一或多個絕緣體層(例如,SiN、SiC、SiCN、SiCO、CN、它們的組合或類似物)。在一些實施例中,用於沈積金屬間介電層63A的介電堆疊的材料可不同於用於形成層間介電質56的材料,以在金屬間介電層63A與層間介電質56之間提供蝕刻選擇性。
合適的微影及蝕刻技術(例如,採用碳氟化合物化學的各向異性反應性離子蝕刻(reactive ion etching,RIE))可用於將金屬間介電層63A圖案化以形成用於導通孔及導電線的多個開口。用於導通孔的開口可為延伸穿過金屬間介電層63A以暴露出導電插塞58的頂部導電表面之垂直的孔,且用於導電線的開口可為在金屬間介電層63A的上部部分中形成之縱向的溝渠。在一些實施例中,用於在金屬間介電層63A中將孔及溝渠圖案化的方法利用通孔優先方案(via-first scheme),其中第一微影及蝕刻製程形成用於導通孔的孔,且第二微影及蝕刻製程形成用於導電線的溝渠並延伸至用於導通孔的孔。其他實施例可使用不同的方法,例如溝渠優先方案(trench-first scheme)、或不完全的通孔優先方案、或掩埋式蝕刻停止層方案。蝕刻技術可利用多個步驟。舉例而言,第一主蝕刻步驟可移除金屬間介電層63A的介電材料的一部分,並在蝕刻停止介電層上停止。然後,可切換蝕刻劑以移除蝕刻停止層介電材料。可微調各種蝕刻步驟的參數(例如,氣體的化學成分、流速及壓力、反應器功率等),以產生具有所需之內部錐角的錐形側壁輪廓。
可沈積一或多種導電材料來填充形成第一內連層級60A的導電特徵65A及67A的孔及溝渠。可首先使用導電擴散阻擋材料對開口進行襯墊以形成導電擴散阻擋襯墊(conductive diffusion barrier liner)69A且然後使用沈積於導電擴散阻擋襯墊69A之上的導電填充材料完全填充開口。在一些實施例中,可在導電擴散阻擋襯墊69A之上沈積薄的導電晶種層,以幫助啟動使用導電填充材料完全填充開口的電化學鍍覆(electrochemical plating,ECP)沈積步驟。
導通孔65A及導電線67A中的導電擴散阻擋襯墊69A包括TaN、Ta、TiN、Ti、Co或類似材料或它們的組合的一或多層。導通孔65A及導電線67A中的導電填充層可包含金屬,例如Cu、Al、W、Co、Ru或類似物或它們的組合或者它們的多層。用於形成導電特徵65A及67A的導電材料可藉由任何合適的方法(例如,CVD、PECVD、PVD、ALD、PEALD、ECP、無電鍍覆及類似方法)沈積。在一些實施例中,導電晶種層可為與導電填充層相同的導電材料,且使用合適的沈積技術(例如,CVD、PECVD、ALD、PEALD或PVD或類似方法)沈積。在一些實施例中,導通孔65A及導電線67A的側壁在朝向層間介電質56的頂表面的方向上向下往內逐漸變窄。
位於開口的外部的金屬間介電層63A之上的任何多餘導電材料可藉由平坦化製程(例如,化學機械平坦化(chemical mechanical planarization,CMP))移除,藉此形成包括金屬間介電層63A的介電區的頂表面與導電線67A的導電區實質上共面(在製程變化內)。平坦化步驟將導通孔65A及導電線67A嵌入至金屬間介電層63A中,如圖1B中所示。
在圖1B中,在垂直方向上位於第一內連層級60A上方的內連層級是第二內連層級60B。在一些實施例中,各種內連層級的結構(例如,第一內連層級60A及第二內連層級60B)可為相似的。在圖1B中所示的實例中,第二內連層級60B包括嵌入具有平坦的頂表面的絕緣膜(例如,金屬間介電層63B)中的導電擴散阻擋襯墊69B、導通孔65B及導電線67B。上文在第一內連層級60A的上下文中闡述的材料及處理技術可用於形成第二內連層級60B及後續內連層級。
用於形成半全域內連件60的上述製程僅是一個實例,且其他實施例可使用不同的製程、材料及/或結構。舉例而言,可利用附加的襯墊結構(liner structure),可利用不同的蝕刻製程及類似製程。
出於例示的目的,圖1A、圖2及隨後的特徵將半全域內連件60示出為單層,且在一些實施例中,半全域內連件60可包括多個層,例如圖1B中所示。圖2示出其中僅出於例示的目的省略第二內連層級60B的實施例。第二內連層級60B可包括在其他實施例中。
進一步參照圖1A,頂部晶圓50更包括多個接墊62,接墊62可包含導電材料,舉例而言(例如)銅、鈦、鎢、鋁或進行外部連接的類似物。接墊62位於半導體基底52的主動側上,例如位於與半全域內連件60的導電特徵電性接觸的半全域內連件60中及/或半全域內連件60上。一或多個鈍化膜64可在半全域內連件60及接墊62的部分之上延伸。多個開口穿過鈍化膜64延伸至接墊62。
可選地,在接墊62上可設置有多個焊料區(solder region)(例如,焊料球或焊料凸塊)。焊料球可用於對頂部晶圓50執行晶片探針(chip probe,CP)測試。可對頂部晶圓50執行CP測試,以確定頂部晶圓50是否是已知良好晶圓(及/或個別未單體化的晶粒是否是已知良好晶粒)。因此,僅已知良好的頂部晶圓50或晶粒將經受隨後的處理並被封裝,且未通過CP測試的晶圓/晶粒不被封裝。在測試之後,可移除焊料區。
在圖2中,在頂部晶圓50之上形成接合層68且在接墊62上形成多個導電接墊66(亦稱為接合接墊66),用於提供元件54與隨後貼合的晶圓(參見下文,圖5)的電性連接。接合層68可為介電材料,例如SiCN及/或氧化物(例如氧化矽)或類似材料。接合層68可例如藉由旋轉塗佈、層壓、化學氣相沈積(CVD)或類似方法形成。然而,可使用任何合適的方法或材料。
圖2進一步示出在接墊62的頂表面上形成導電接墊66。導電接墊66可呈現處於約100奈米至約10000奈米的範圍內之精細的節距P1。在一些實施例中,導電接墊66藉由鑲嵌製程形成,在鑲嵌製程中,利用微影技術對接合層68進行圖案化及蝕刻,以形成對應於導電接墊的期望圖案的多個溝渠。在一些實施例中,導電接墊66藉由雙鑲嵌製程形成,且通孔設置在接墊62與導電接墊66之間。可沈積可選的擴散阻擋層及/或可選的黏合層,且可使用導電材料填充溝渠。擴散阻擋層的合適材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、氧化鈦或其他替代物,且導電材料的合適材料包括銅、銀、金、鎢、鋁、它們的組合或類似材料。在實施例中,可藉由沈積銅或銅合金的晶種層並藉由電鍍填充溝渠來形成導電接墊66。可使用化學機械平坦化(CMP)製程或類似製程以自接合層68的表面移除多餘的導電材料,且對用於隨後的處理的表面進行平坦化。
圖3至圖5示出根據一些實施例的製造隨後將被接合至頂部晶圓50的電源軌條晶圓70的各種中間步驟。首先參照圖3,示出延伸至電源軌條晶圓70的半導體基底72中的多個基底穿孔(through substrate via,TSV)82。基底穿孔82可電性耦合至隨後形成於半導體基底72的背側(例如,圖3中的半導體基底72面朝下的側)上的配電網路(PDN)。作為形成基底穿孔82的實例,可藉由例如蝕刻、銑削(milling)、雷射技術、它們的組合及/或類似方法在半導體基底72中形成多個凹槽。可例如藉由使用氧化技術在凹槽中形成薄介電材料。可例如藉由CVD、原子層沈積(ALD)、物理氣相沈積(PVD)、熱氧化、它們的組合及/或類似方法在開口中共形地沈積阻擋層(未示出)。阻擋層可由以下形成:氧化物;氮化物或氮氧化物,例如氮化鈦、氮氧化鈦、氮化鉭、氮氧化鉭、氮化鎢;它們的組合及/或類似物。導電材料可沈積於阻擋層之上及開口中。導電材料可藉由電化學鍍覆製程、CVD、PVD、它們的組合及/或類似材料形成。導電材料的實例是銅、鎢、鋁、銀、金、它們的組合及/或類似材料。藉由例如化學機械拋光(CMP)自半導體基底72的表面移除多餘的導電材料及阻擋層。阻擋層及導電材料的剩餘部分形成基底穿孔82。
在所示的實施例中,基底穿孔82尚未暴露於半導體基底72的背側(參見下文,圖4)。相反,基底穿孔82埋置於半導體基底72中。如下文將更詳細論述所示(參見圖7),在隨後的處理中在接合至頂部晶圓50之後,基底穿孔82將暴露於半導體基底72的背側。在一些實施例中,基底穿孔82可具有處於約50奈米至約200奈米的範圍內的直徑。基底穿孔82可電性耦合至半導體基底72或元件層73的多個導電線或其他多個導電特徵(未示出)。
圖4示出在半導體基底72上形成元件層73、半全域內連件80、多個接墊92及一或多個鈍化膜94之後的電源軌條晶圓70。在一些實施例中,元件層73、半全域內連件80、接墊92及一或多個鈍化膜94使用與以上關於圖1A與圖1B闡述的頂部晶圓50的對應層相似的方法及材料形成。元件層73包括電性耦合至基底穿孔82的多個導電特徵,且在多個元件74及半導體基底72之上形成層間介電質76。在一些實施例中,元件層73包括多個元件74(例如,主動元件(例如電晶體))。在其他實施例中,省略元件層73且在半導體基底72之上形成不覆蓋主動元件的層間介電質76。不含有主動元件的電源軌條晶圓70可有助於改善頂部晶圓50或底部晶圓150(參見下文,圖13)中的元件的電源效率及熱管理。多個導電插塞78延伸穿過層間介電質76以電性耦合至元件74。在層間介電質76之上形成半全域內連件80(亦稱為內連結構80)。半全域內連件80可使用與如以上關於圖1B所述的半全域內連件60相同的方法及材料形成,舉例而言(例如)鑲嵌或雙鑲嵌製程。接墊92實體及電性耦合至半全域內連件80,且一或多個鈍化膜94位於電源軌條晶圓70的主動側之上、具有延伸至接墊92的多個開口。
基底穿孔82可直接連接至元件74、藉由半全域內連件80連接至元件74或者兩種情況的組合。如下文更詳細論述所示,隨後將沿著半導體基底72的背側形成PDN,且可經由隨後形成的PDN將基底穿孔82連接至具有VSS
的電壓及具有VDD
的電壓。電源亦可藉由透過專用導通孔及導電線的短路徑垂直地穿過半全域內連件80進行佈線。
在一些實施例中,可穿過層間介電質76形成多個接觸件84以將基底穿孔82電性耦合至半全域內連件80的多個導電特徵86(例如,第一金屬化圖案的金屬線或金屬通孔)。可使用與在層間介電質76中形成的導電插塞78實質上相似的方法及材料形成穿過層間介電質76的接觸件84。然而,可使用任何合適的製程及材料。
出於例示的目的,接觸件84及基底穿孔82被示出為兩個獨立的部件,且在一些實施例中,接觸件84及基底穿孔82可為單個連續的部件。舉例而言,在一些實施例中,可在基底之上形成一或多個介電層(例如,層間介電質76及/或內連結構80的一或多個層)之後形成基底穿孔82。在形成所述一或多個介電層之後,可形成穿過所述一或多個介電層且進入至半導體基底72中的開口並使用導電材料填充所述開口。連接至接觸件84的基底穿孔82的尺寸可大於直接連接至元件74的基底穿孔82的尺寸,此可有助於更高的電源輸送及更低的功耗。
圖5示出在電源軌條晶圓70之上形成的接合層98及在接墊92的頂表面上形成的多個導電接墊96。導電接墊96及接合層98可用於將電源軌條晶圓70的主動側接合至頂部晶圓50的主動側(參見下文,圖6)。接合層98及導電接墊96可使用與如以上參照圖2所述的接合層68及導電接墊66實質上相似的方法及材料形成。然而,可使用任何合適的方法或材料。導電接墊96可呈現出與處於約100奈米至約10000奈米的範圍內的節距P1(參見上文,圖2)相同的精細節距。
圖6示出使用合適的接合方法將頂部晶圓50(參見圖2)的主動側與電源軌條晶圓70(參見圖5)的主動側面對面(F2F)接合,形成晶圓上晶圓(wafer-on-wafer,WoW)結構。在一些實施例中,使用包括導電接墊66與導電接墊96之間的金屬-金屬接合(例如,Cu-Cu接合)以及接合層68與接合層98之間的介電質接合的無凸塊接合(bumpless bond)將頂部晶圓50貼合至電源軌條晶圓70,形成系統積體晶片(system-on-integrated-chips,SoIC)接合介面。
作為頂部晶圓50與電源軌條晶圓70之間的無凸塊接合的實例,無凸塊接合製程開始於將頂部晶圓50與電源軌條晶圓70對準並接合。接合可包括對接合層68或98中的一或多者來施用表面處理。表面處理可包括電漿處理。電漿處理可在真空環境中執行。在電漿處理之後,表面處理可更包括可對接合層68或98中的一或多者來施用的清潔製程(例如,使用去離子水或類似物沖洗)。然後可繼續進行無凸塊接合製程以將頂部晶圓50的導電接墊66與電源軌條晶圓70的導電接墊96對準。當頂部晶圓50與電源軌條晶圓70對準時,頂部晶圓50的導電接墊66可與電源軌條晶圓70的對應導電接墊96交疊。接下來,無凸塊接合包括預接合步驟,在此步驟期間將頂部晶圓50放置成與電源軌條晶圓70接觸。預接合可在室溫(例如,在約21℃與約25℃之間)下執行。無凸塊接合製程可繼續執行退火(例如在約150℃與約400℃之間的溫度下持續約0.5小時與約3小時之間的持續時間),使得導電接墊66中的金屬(例如,銅)與導電接墊96的金屬(例如,銅)彼此相互擴散,且因此形成直接金屬至金屬接合,且接合層68與接合層98接合至彼此。
圖7示出對電源軌條晶圓70的半導體基底72的背側進行平坦化,以暴露出基底穿孔82的頂表面。平坦化製程可為例如研磨及/或化學機械拋光(chemical-mechanical polish,CMP),以移除半導體基底72的位於基底穿孔82的頂表面之上的部分。然而,可使用任何合適的製程。
圖8示出在半導體基底72的背側上形成半全域內連件100A(亦稱為內連結構100A)。半全域內連件100A可用於提供訊號佈線。半全域內連件100A可將基底穿孔82與隨後形成的多個接墊132實體及電性耦合(參見下文,圖12)。半全域內連件100A可藉由與以上關於圖1B闡述的半全域內連件60相似的方法及材料形成於半導體基底72上。
金屬化圖案包括形成於一或多個介電層(可使用鑲嵌或雙鑲嵌製程形成(參見上文,圖1B))中的多個導通孔102及多個導電線104。在一些實施例中,自圖8中所示的視角來看,半全域內連件100A之鑲嵌的導通孔102及導電線104或雙鑲嵌的導通孔102及導電線104被定向為與半全域內連件80的導電線83及導通孔81相反的方向,使得導電線104位於導通孔102之上而導通孔81位於導電線83之上。此可進一步由線(例如,導電線83/104)及/或導通孔81/102的錐形化來指示。出於例示的目的,圖8將半全域內連件100A示出為單層,且在一些實施例中,半全域內連件100A可包括多層。電源亦可藉由透過專用導通孔及導電線的短路徑垂直地穿過半全域內連件100A進行佈線。
圖9示出形成於半全域內連件100A上的配電網路(PDN)層100B。配電網路層100B可分別自隨後貼合的底部晶圓150(參見下文,圖17)向頂部晶圓50及電源軌條晶圓70的元件層53及73提供配電及輸送。在電源軌條晶圓70的背側上積體化配電網路層100B可有助於將從頂部晶圓50及底部晶圓150經由配電網路層100B的電源輸送分開以達成更佳的系統電源效率及散熱。將訊號佈線及配電網路的部分自前側的半全域內連層80移至半全域內連件100A及將電源佈線移至配電網路層100B中可大大改善具有日益減小的元件尺寸的先進節點晶圓中的電源輸送及訊號佈線靈活性。將訊號佈線與在配電網路層100B中電源佈線分開亦可允許在形成用於電源佈線的連接時具有更大的靈活性。舉例而言,藉由將半全域內連件100A的訊號佈線導線與配電網路層100B的佈線導線分開,不同的設計特徵(例如,相鄰導線之間的介電層厚度、導電線的大小(例如,厚度、寬度、長度)等)可各別地改變,以藉由透過PDN層100B的電源輸送為透過半全域內連件100A的訊號佈線中的每一者提供更佳的效能,藉此改善元件效能。資料訊號(例如,藉由字元線或位元線傳輸至記憶胞元(memory cell)(例如SRAM胞元)的資料或自記憶胞元(例如SRAM胞元)傳輸的資料)亦可藉由透過專用導通孔及導電線的短路徑垂直地穿過配電網路層100B進行佈線。在一些實施例中,配電網路層100B的特徵被整合在半全域內連件100A內。
在一些實施例中,配電網路層100B包括形成於半全域內連件100A之上的一或多個介電層中的多個金屬化圖案(例如,導電性的導電特徵)。舉例而言,配電網路層100B可包括導電性的導電特徵,例如形成於多個介電層116中的多個導電線112及多個導通孔114。在一些實施例中,介電層116包含合適的介電材料,例如氧化矽、氮化矽、氮氧化矽、它們的組合、它們的多層或類似材料,且可使用合適的形成方法(例如,化學氣相沈積(CVD)、物理氣相沈積(PVD)、層壓或類似方法)形成。配電網路層100B的導電性的導電特徵(例如,導電線112、導通孔114)可由導電性的導電材料(例如,銅)形成,且可由合適的形成方法(例如,鑲嵌、雙鑲嵌、鍍覆或類似方法)形成。注意,為了簡潔起見,圖9將介電層116示出為單層,且應理解,配電網路層100B的介電層116可包括多個介電層。配電網路層100B可更包括位於介電層116之上的一或多個導電特徵118。導電特徵118可為連續或不連續的圖案。在一些實施例中,導電特徵118是接地平面(ground plane)或配電平面(distribution plane)。
在一些實施例中,位於電源軌條晶圓70的背側上的配電網路層100B的導電特徵可大於位於電源軌條晶圓70的前側上的半全域內連件80的導電特徵。舉例而言,配電網路層100B的導通孔114的寬度可大於半全域內連件80的導通孔81的寬度,且配電網路層100B的導電線112的寬度、長度及/或厚度可大於半全域內連件80的導電線83的寬度、長度及/或厚度。另外,配電網路層100B的導電特徵之間的節距可大於半全域內連件80的導電特徵之間的節距。此可使配電網路層100B的導電特徵能夠更有效地傳輸電力,而不會損壞配電網路層100B的導電特徵。配電網路層100B的導通孔114的寬度及配電網路層100B的導電線112的寬度、長度及/或厚度亦可大於其他內連結構(例如,半全域內連件60、半全域內連件100D(參見下文,圖11)、半全域內連件160(參見下文,圖14)或全域內連件190(參見下文,圖17)的相應導通孔及導電線的寬度、長度及/或厚度。
圖10示出在配電網路層100B之上形成的嵌入式電源組件層(embedded power component layer)100C(亦稱為積體電源組件層100C或被動元件層100C)。在一些實施例中,嵌入式電源組件層100C用於調節經由配電網路層100B分配給其他電路系統的電壓、電流及電力。在一或多個介電層(出於例示的目的示出一個)中形成多個電源組件元件122,且電源組件元件122可包括可電性耦合至配電網路層100B的導電特徵的深溝渠電容器(deep trench capacitors,DTC)、金屬-絕緣體-金屬(metal-insulator-metal,MiM)電容器、去耦合電容器、積體被動元件(integrated passive devices,IPD)、電壓調節(voltage regulation,VR)電路及/或氮化鎵(GaN)功率電晶體。嵌入於所述一或多個介電層(出於例示的目的,示出為介電層124)中的多個導通孔126可將電源組件元件122與隨後形成的半全域內連件100D(參見下文,圖11)電性耦合。在一些實施例中,電源組件元件122藉由半全域內連件100D(參見圖11)及多個穿孔(through vias,TV)125耦合至配電網路層100B。在一些實施例中,電源組件元件122藉由穿過介電層124的導通孔(未示出)電性耦合至配電網路層100B。在一些實施例中,電源組件元件122被整合在半全域內連件100A內。
穿過介電層124的穿孔(TV)125可將配電網路層100B的導電特徵與隨後形成的半全域內連件100D(參見圖11)電性耦合,以提供電源及訊號流的路徑。在一些實施例中,不包括嵌入式電源組件層100C且配電網路層100B可與隨後形成的多個接墊132(參見下文,圖12)直接連接。
圖11示出在嵌入式電源組件層100C之上形成半全域內連件100D(亦稱為內連結構100D)。半全域內連件100D可用於藉由穿孔125連接電源組件元件122與配電網路層100B,以進行電源及訊號佈線。半全域內連件100D可藉由與半全域內連件100A相同的方法及材料形成。出於例示的目的,圖11將半全域內連件100D示出為單層,且在一些實施例中,半全域內連件100D可包括多層。在其中不包括嵌入式電源組件層100C的一些實施例中,可不包括半全域內連件100D。
圖12示出電性耦合至半全域內連件100D的多個接墊132、位於半全域內連件100D的背側上且具有多個開口延伸至接墊132的一或多個鈍化膜134、形成於所述一或多個鈍化膜134之上的接合層138以及形成於接墊132的頂表面上的多個導電接墊136。導電接墊136及接合層138可用於將電源軌條晶圓70的背側接合至底部晶圓150(參見下文,圖15)的主動側。接墊132、鈍化膜134、接合層138及導電接墊136可分別使用與如以上參照圖1A、圖1B及圖2所述的接墊62、鈍化膜64、接合層68及導電接墊66實質上相似的方法及材料形成。然而,可使用任何合適的方法或材料。導電接墊136可呈現出處於約100奈米至約10000奈米的範圍內的節距P2。在一些實施例中,導電接墊136的節距P2大於導電接墊66及96的節距P1(參見圖5)。此可有助於達成更佳的系統電源效率及散熱。導電接墊136的節距P2對導電介電66及96的節距P1的比率可處於約1至約100的範圍內。
圖13至圖14示出根據一些實施例的製造底部晶圓150(所述底部晶圓150隨後將被接合至包括頂部晶圓50及電源軌條晶圓70的WoW結構)的各種中間步驟。首先參照圖13,底部晶圓150包括嵌入半導體基底152中的多個基底穿孔(TSV)172。基底穿孔172可電性耦合至半導體基底152或元件層153的導電線或其他導電特徵(未示出)。在一些實施例中,底部晶圓150具有與以上關於圖1A、圖1B闡述的頂部晶圓50相似的結構及材料。底部晶圓150更包括:元件層153,包括電性耦合至基底穿孔172的多個元件154(例如,電晶體)及位於元件154及半導體基底152之上的層間介電質156;多個導電插塞158,延伸穿過層間介電質156以電性及實體耦合元件154;半全域內連件160(亦稱為內連結構160),位於層間介電質156之上;多個接墊182,實體及電性耦合至半全域內連件160;以及一或多個鈍化膜184,位於底部晶圓150的主動側之上且具有多個開口延伸至接墊182。
基底穿孔172可電性耦合至位於半導體基底152的背側上隨後形成的內連結構(參見下文,圖17)。基底穿孔172可使用與以上關於圖3闡述的基底穿孔82實質上相似的方法及材料形成。基底穿孔172藉由元件層153中的多個導電特徵(例如多個導電線及多個導通孔(未示出))電性耦合至半全域內連件160。如下文將更詳細論述所示(參見圖16),基底穿孔172將在隨後的處理中暴露於半導體基底152的背側。在一些實施例中,基底穿孔172可具有處於約1000奈米至約10000奈米的範圍內的寬度。
圖14示出形成於底部晶圓150的主動側上的接合層188及形成於接墊182的頂表面上的多個導電接墊186。導電接墊186及接合層188可用於將底部晶圓150的主動側接合至電源軌條晶圓70的背側(參見下文,圖15)。接合層188及導電接墊186可使用與如以上參照圖2所述的接合層68及導電接墊66實質上相似的方法及材料形成。然而,可使用任何合適的方法或材料。導電接墊186可呈現出與處於約100奈米至約10000奈米的範圍內的節距P2(參見上文,圖12)相同的節距。
圖15示出使用合適的接合方法將底部晶圓150的主動側與電源軌條晶圓70的背側面對背(F2B)接合。在一些實施例中,使用包括導電接墊136與導電接墊186之間的金屬-金屬接合(例如,Cu-Cu接合)以及接合層138與接合層188之間的介電質接合的無凸塊接合將底部晶圓150貼合至電源軌條晶圓70。無凸塊接合可使用與以上關於圖6闡述的方法及材料實質上相同的方法及材料來執行。
圖16示出對半導體基底152的背側進行平坦化以暴露出基底穿孔172的頂表面。平坦化製程可為例如研磨及/或化學機械拋光(CMP),以移除半導體基底152的位於基底穿孔172的頂表面之上的部分。然而,可使用任何合適的製程。
圖17示出在半導體基底152的背側上形成全域內連件190(亦稱為內連結構190)。全域內連件190可使用用於輸入/輸出(input/output,I/O)與電源連接的多個外部連接件(參見下文,圖18)來電性耦合多個基底穿孔172。全域內連件190可由例如半導體基底152上的多個介電層中的多個金屬化圖案形成。金屬化圖案包括形成於一或多個低介電常數介電層中的多個金屬線及多個金屬通孔。全域內連件190可包括隨後可進行外部連接的多個接觸接墊193(例如鋁接墊)。
圖18示出在全域內連件190的接觸接墊193上形成多個外部連接件191。外部連接件191可用於對底部晶圓150以及對電源軌條晶圓70及頂部晶圓50進行資料I/O及電源連接。外部連接件191可包括多個受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊194、多個微凸塊194、多個通孔196、多個球柵陣列(ball grid array,BGA)連接件198、類似物或它們的組合。出於例示的目的,圖18示出外部連接件191包括C4凸塊194、微凸塊194、支柱196及BGA連接件198。在一些實施例中,外部連接件191可包括一種類型的連接件或兩種或多種類型的連接件。在其中外部連接件191由焊料材料形成的一些實施例中,可執行回焊製程(reflow process),以便將焊料材料成形為期望的凸塊形狀。
在圖19A中,藉由沿著切割道51鋸切來執行單體化製程以形成積體電路封裝體200。所述鋸切將積體電路封裝體200(亦稱為元件堆疊200)自圖18中所示的WoW結構單體化。所得的單體化的元件堆疊200包括底部晶片155、電源軌條晶片75及頂部晶片55。在單體化之後,半導體基底52、72及152(亦稱為基底52、72及152)的側壁可在橫向上對準。藉由將配電網路層100B積體化在位於元件堆疊200中間的電源軌條晶片75的背側上,可達成更佳的系統電源效率及散熱。
在一些實施例中,藉由底部晶片155的背側上的外部連接件191透過基底穿孔172將電源202輸送至底部晶片155的元件層153。電源202藉由透過專用導通孔及導電線的短路徑垂直地穿過半全域內連件160佈線至具有節距P2的導電接墊186,並佈線至面對背(F2B)接合至導電接墊186之電源軌條晶片75的導電接墊136。在電源軌條晶片75中,電源202可藉由半全域內連件100D佈線至嵌入式電源組件層100C,電源202可藉由嵌入式電源組件層100C可透過例如去耦合電容器的電源組件元件122調節至配電網路層100B的電壓,配電網路層100B的多個導電特徵可耦合至電源組件元件122。然後,電源202可由配電網路層100B分配,並進一步自配電網路層100B藉由基底穿孔82輸送至電源軌條晶片75的元件層73。電源202可進一步藉由半全域內連件80分配至具有節距P1小於節距P2的導電接墊96以及面對面(F2F)接合至導電接墊96的頂部晶片55的導電接墊66。然後,電源202可藉由透過專用導通孔及導電線的短路徑垂直地穿過半全域內連件60佈線至頂部晶片55的元件層53。
圖19B示出根據一些實施例的其中電源212可藉由頂部晶片215輸送的積體電路封裝體210。積體電路封裝體210可使用與積體電路封裝體200實質上相似的方法及材料形成,藉由添加穿過頂部晶片215的基底52至元件層53的多個基底穿孔282、形成於頂部晶片215的背側上並電性耦合至基底穿孔282的內連結構290、以及電性耦合至內連結構290(又稱全域內連件)的多個外部連接件291。基底穿孔282可使用與基底穿孔82(參見上文,圖3)實質上相似的方法及材料穿過基底52形成,全域內連件290可使用與全域內連件190(參見上文,圖17)實質上相似的方法及材料形成,且外部連接件291可使用與外部連接件191(參見上文,圖18)實質上相似的方法及材料形成。
電源212可藉由頂部晶片215的背側上的外部連接件291輸送至頂部晶片215的元件層53。然後,電源212可沿著與電源202(參見上文,圖19A)相似的路徑輸送(但是在與電源軌條晶片75的元件層73相反的方向上),且藉由基底穿孔82輸送至PDN層100B及底部晶片155的元件層153。在一些實施例中,可自底部晶片155省略基底穿孔172、全域內連件190及外部連接件191。
圖20至圖24示出根據一些實施例的用於形成在晶圓至晶圓(W2W)規模具有三個層次的積體電路結構的另一積體電路封裝體300的製程。積體電路封裝體300可不同於積體電路封裝體200(參見上文,圖19A),不同之處在於積體電路封裝體300的底部晶圓350與電源軌條晶片270藉由微凸塊接合(micro bump bond)而不是無凸塊接合來耦合。
圖20接續在圖10之後,其中頂部晶圓250與頂部晶圓50實質上相似,且電源軌條晶圓270與電源軌條晶圓70實質上相似。在圖20中,在半全域內連件100D的頂表面上形成多個導電連接件234,且導電連接件234電性耦合至半全域內連件100D的多個導電特徵。導電連接件234可為包括導電材料(例如,銅)的微凸塊且可包括焊料區。然而,可使用任何合適的導電材料。可導電連接件234上形成多個焊料區238。
圖21示出與圖13中所示的底部晶圓150實質上相似的底部晶圓350,除了多個導電連接件236形成於半全域內連件160的頂表面上且電性耦合至半全域內連件160的多個導電特徵。導電連接件236可與以上關於圖20闡述的導電連接件234實質上相似。
在圖22中,使用倒裝晶片接合製程藉由導電連接件234及236將底部晶圓350接合至電源軌條晶圓270。可應用回焊製程以將導電連接件234上的焊料區238黏合至導電連接件236。可在導電連接件236及238周圍沈積底部填充膠240。底部填充膠240可在貼合底部晶圓350之後藉由毛細流動製程(capillary flow process)形成,或者可在貼合底部晶圓350之前藉由合適的沈積方法形成。底部填充膠240可設置於底部晶圓350與電源軌條晶圓270之間。
在圖23中,對半導體基底152的背側執行平坦化以暴露出多個基底穿孔172的頂表面,在半導體基底152的背側上形成全域內連件190,且在全域內連件190的多個接觸接墊193上形成多個外部連接件191。該些製程可與以上圖16至圖18中所示的製程實質上相似。
在圖24中,藉由沿著切割道51鋸切來執行單體化製程以形成積體電路封裝體300。所述鋸切將積體電路封裝體300(亦稱為元件堆疊300)自圖23中所示的WoW結構單體化。所得的單體化的元件堆疊300包括頂部晶片255、電源軌條晶片275及底部晶片355。單體化的元件堆疊300可與單體化的元件堆疊200(參見上文,圖19A)實質上相似,但是底部晶片355與電源軌條晶片275藉由導電連接件234與導電連接件236之間的微凸塊接合而不是無凸塊接合來耦合。在一些實施例中,頂部晶片255與電源軌條晶片275亦可藉由使用微凸塊接合的倒裝晶片接合製程而不是無凸塊接合製程來接合。
圖25至圖34示出根據一些實施例的用於形成在晶片至晶圓(chip-to-wafer,C2W)規模具有三個層次的積體電路結構的封裝組件的製程。
圖25示出根據一些實施例的頂部晶圓450。頂部晶圓450可使用與以上關於圖1至圖2闡述的頂部晶圓50實質上相似的材料及方法形成。
圖26示出根據一些實施例的電源軌條晶粒470。可沿著切割道51將電源軌條晶粒470自電源軌條晶圓單體化,所述電源軌條晶圓使用與以上關於圖3至圖4闡述的電源軌條晶圓70實質上相似的材料及方法形成。如下面參照圖27至圖30更詳細論述所示,隨後可形成電源軌條晶粒的PDN及其他結構。
圖27示出使用合適的接合方法將頂部晶圓450的主動側與電源軌條晶粒470的主動側面對面(F2F)接合,形成晶圓上晶片(chip-on-wafer,CoW)結構。F2F接合可使用與以上關於圖6闡述的方法實質上相似的方法來執行。
圖28示出對電源軌條晶粒470的半導體基底72的背側進行平坦化以暴露出多個基底穿孔82的頂表面。可使用與以上關於圖7闡述的方法實質上相似的方法來執行平坦化。
圖29示出在頂部晶圓450上及電源軌條晶粒470周圍形成介電材料402。在一些實施例中,介電材料402可為模塑化合物、環氧樹脂或類似材料。在一些實施例中,介電材料402由聚合物(例如,聚苯並噁唑(polybenzoxazole,PBO)、聚醯亞胺、苯並環丁烯(benzocyclobutene,BCB)或類似物)形成。在一些實施例中,介電材料02由以下形成:氮化物,例如氮化矽;氧化物,例如氧化矽;磷矽酸鹽玻璃(PSG);硼矽酸鹽玻璃(BSG);摻雜硼的磷矽酸鹽玻璃(BPSG);或類似物。介電材料402可藉由任何可接受的沈積製程(例如,壓縮模塑、轉移模塑、旋轉塗佈、CVD、層壓、類似製程或它們的組合)形成。在一些實施例中,在形成介電材料402以填充電源軌條晶粒470之間的間隙之後,位於電源軌條晶粒470之上的介電材料402的多餘部分可藉由合適的製程(例如,平坦化或研磨)移除。在一些實施例中,介電材料402可在參照圖28執行的平坦化之前形成,且可執行單個平坦化以將介電材料402平坦化並暴露出基底穿孔82。
圖30示出在電源軌條晶粒470及介電材料402之上形成半全域內連件100A至半全域內連件100D、鈍化膜134、多個接墊132、接合層138,且接墊132位於電源軌條晶粒470及介電材料402之上。可使用與以上關於圖8至圖12闡述的材料及方法實質上相似的材料及方法來形成半全域內連件100A至半全域內連件100D、鈍化膜134、接墊132、接合層138。
圖31示出根據一些實施例的底部晶粒550。可沿著切割道51將底部晶粒550自底部晶圓單體化,所述底部晶圓使用與以上關於圖13至圖14闡述的底部晶圓70實質上相似的材料及方法形成。
圖32示出使用合適的接合方法沿著電源軌條晶粒470的背側將底部晶粒550的主動側與接合層138及接墊136面對背(F2B)接合。可使用與以上關於圖15闡述的實質上相似的方法來執行F2B接合。
圖33示出對底部晶粒550的半導體基底152的背側進行平坦化以暴露出多個基底穿孔172的頂表面,並在電源軌條晶片470上及底部晶粒550周圍形成介電材料404。可使用與以上關於圖7闡述的實質上相似的方法來執行平坦化。可使用與以上參照圖29闡述的實質上相似的方法來形成介電材料404。
圖34示出根據一些實施例的在底部晶粒550及介電材料404的背側上形成全域內連件190及多個外部連接件191。全域內連件190及外部連接件191可使用與以上關於圖17至圖18闡述的實質上相似的方法形成。
在圖35中,藉由沿著切割道51鋸切來執行單體化製程以形成積體電路封裝體400。鋸切將積體電路封裝體400(亦稱為元件堆疊400)自圖34中所示的CoW結構單體化。單體化製程可穿過底部晶粒550的相鄰者之間的介電材料404並穿過電源軌條晶粒470的相鄰者之間的介電材料402進行單體化。如此,介電材料402及404可在單體化之後為底部晶粒550的側壁及電源軌條晶粒470的側壁提供環境保護。所得的單體化的元件堆疊400包括底部晶粒550、電源軌條晶粒470及頂部晶粒455。藉由將配電網路層100B積體化在位於元件堆疊400中間的電源軌條晶粒470的背側上,可達成更佳的系統電源效率及散熱。在一些實施例中,可利用使用與以上關於圖17至圖18闡述的方法實質上相似的方法的WoW製程(此處未示出)將底部晶粒550接合至電源軌條晶粒470。
圖36至圖43示出根據一些實施例的用於形成在晶片至晶圓(C2W)規模具有三個層次的積體電路結構的另一積體電路封裝體500的製程。積體電路封裝體500可不同於積體電路封裝體400(參見上文,圖35),不同之處在於積體電路封裝體400的底部晶粒510與電源軌條晶粒470藉由微凸塊接合而不是混合接合來耦合。
圖36示出與圖30中所示的CoW結構實質上相似的CoW結構,除了多個導電連接件234形成於半全域內連件100D的頂表面上且電性耦合至半全域內連件100D的多個導電特徵,所述半全域內連件100D形成於電源軌條晶粒470的背側上。導電連接件234可包含導電材料(例如,銅),且可包括焊料區。然而,可使用任何合適的導電材料。
圖37示出根據一些實施例的底部晶粒510。底部晶粒510可與以上關於圖31闡述的底部晶粒550實質上相似,除了多個導電連接件236形成於半全域內連件160的頂表面上且電性耦合至半全域內連件160的多個導電特徵。導電連接件236可與以上關於圖36闡述的導電連接件234實質上相似。可在導電連接件234或導電連接件236上形成多個焊料區238。
在圖38中,使用倒裝晶片接合製程藉由導電連接件234及236將底部晶粒510接合至半全域內連件100D。可應用回焊製程對焊料區238進行回焊,以將導電連接件234黏合至導電連接件236。
在圖39中,可在導電連接件234及236周圍沈積底部填充膠520。底部填充膠520可在貼合底部晶粒510之後藉由毛細流動製程形成,或者可在貼合底部晶粒510之前藉由合適的沈積方法形成。底部填充膠520可設置於底部晶粒510與半全域內連件100D之間。
在圖40中,在各種組件上及各種組件周圍形成包封體522。在包封體522的形成之後,包封體522將底部晶粒510包封。包封體522可為模塑化合物、環氧樹脂或類似物。可藉由壓縮模塑、轉移模塑或類似方法來施用包封體522,且可在所述結構之上形成包封體522,使得底部晶粒510被掩埋或被覆蓋。在底部晶粒510之間的間隙區中進一步形成包封體522。可透過液體或半液體形式來施用包封體522,且隨後對包封體522進行固化。
在圖41中,對包封體522執行平坦化製程,以移除包封體522的頂部部分及底部晶粒510的半導體基底152的頂部部分,藉此暴露出多個基底穿孔172。在平坦化製程之後,半導體基底152的頂表面、基底穿孔172的頂表面及包封體522的頂表面在製程變化內實質上共面。平坦化製程可為例如化學機械拋光(CMP)、研磨製程或類似製程。在一些實施例中,例如若基底穿孔172已經暴露出則可省略平坦化。
圖42示出在底部晶粒510及包封體522的背側上形成全域內連件190及多個外部連接件191。全域內連件190及外部連接件191可使用與以上關於圖17至圖18闡述的方法實質上相似的方法形成。
在圖43中,藉由沿著切割道51鋸切來執行單體化製程,以形成積體電路封裝體500。鋸切將積體電路封裝體500(亦稱為元件堆疊500)自圖42中所示的CoW結構單體化。所得的單體化的元件堆疊500包括底部晶粒510、電源軌條晶粒470及頂部晶粒455。單體化製程可穿過底部晶粒510的相鄰者之間的包封體522並穿過電源軌條晶粒470的相鄰者之間的介電材料402進行單體化。如此,包封體522、介電材料402可在單體化之後為底部晶粒510的側壁及電源軌條晶粒470的側壁提供環境保護。藉由將配電網路層100B積體化在位於元件堆疊500中間的電源軌條晶粒470的背側上,可達成更佳的系統電源效率及散熱。
圖44示出與以上圖18中所示的晶圓上晶圓(WoW)結構相似的WoW結構,但是具有四個層次而不是三個層次。圖44所示的WoW結構包括頂部晶圓50、電源軌條晶圓70及底部晶圓150,其可分別與圖18所示的頂部晶圓50、電源軌條晶圓70及底部晶圓150實質上相似。在電源軌條晶圓70與底部晶圓150之間接合附加的晶圓670。在所示實施例中,附加的晶圓670是與電源軌條晶圓70實質上相似的電源軌條晶圓。在一些實施例中,附加的晶圓670與底部晶圓150實質上相似。
圖45示出自圖44中所示的WoW結構單體化的積體電路封裝體600。積體電路封裝體600包括分別自圖44的頂部晶圓50、電源軌條晶圓70、附加的晶圓670及底部晶圓150單體化所得的頂部晶粒55、電源軌條晶粒75、附加的晶粒675及底部晶粒155。在所示實施例中,積體電路封裝體600具有四個層次,且附加的晶粒675是包括配電網路層100B或等效組件的電源軌條晶粒。在一些實施例中,附加的晶粒675可為不包括配電網路層100B的電源軌條晶粒或者與具有多個基底穿孔172的底部晶粒155實質上相似的晶粒。
在一些實施例中,積體電路封裝體600可包括多個附加的晶粒(未示出)以具有多於四個層次,所述多個附加的晶粒可與具有或不具有配電網路層100B的電源軌條晶粒675實質上相似或者與具有多個基底穿孔172的底部晶粒155實質上相似。在一些實施例中,積體電路封裝體600可藉由以上圖1至圖18中所示的W2W製程或藉由例如以上圖25至圖35中所示的C2W製程形成。在一些實施例中,如圖20至圖24中所示,可藉由倒裝晶片接合製程將相應晶片中的一些晶片或所有晶片彼此接合。在一些實施例中,頂部晶粒55可包括多個基底穿孔,使得電源可藉由頂部晶片55上的多個外部連接件進入,如以上圖19B中所示。
上述結構可用於各種應用中。舉例而言,圖46至圖65示出積體電路封裝體200的各種應用,其中如圖48至圖63中所示的積體電路封裝體200可為如圖19A、圖19B、圖24、圖35、圖43、圖45中所示的任何封裝體,或者該些實施例的組合及/或變形。
首先參照圖46至圖62,示出根據一些實施例的用於形成封裝組件1000的製程期間的中間步驟的剖視圖。示出第一封裝區1000A及第二封裝區1000B,且將多個積體電路封裝體200中的一或多者封裝以在封裝區1000A及1000B中的每一者中形成積體電路封裝體。積體電路封裝體亦可稱為積體扇出型(integrated fan-out,InFO)封裝體。
在圖46中,提供載體基底1002,且在載體基底1002上形成釋放層1004。載體基底1002可為玻璃載體基底、陶瓷載體基底或類似基底。載體基底1002可為晶圓,進而使得可在載體基底1002上同時形成多個封裝體。
釋放層1004可由聚合物系材料形成,釋放層1004可與載體基底1002一起被從將在隨後的步驟中形成的上覆結構移除。在一些實施例中,釋放層1004為當受熱時會失去其黏合性質的環氧系熱釋放材料,例如光熱轉換(light-to-heat-conversion,LTHC)釋放塗層。在其他實施例中,釋放層1004可為當暴露於紫外(ultra-violet,UV)光時會失去其黏合性質的UV膠。釋放層1004可透過液體形式被分配並被固化,可為疊層至載體基底1002上的疊層膜(laminate film),或者可為類似物。釋放層1004的頂表面可被整平且可具有高平坦程度。
在圖47中,可在釋放層1004上形成背側重佈線結構1006。在所示實施例中,背側重佈線結構1006包括介電層1008、金屬化圖案1010(有時稱為重佈線層或重佈線導線(redistribution line))及介電層1012。背側重佈線結構1006是可選的。在一些實施例中,在釋放層1004上形成不具有金屬化圖案的介電層來代替背側重佈線結構1006。
可在釋放層1004上形成介電層1008。介電層1008的底表面可與釋放層1004的頂表面接觸。在一些實施例中,介電層1008由聚合物(例如,聚苯並噁唑(PBO)、聚醯亞胺、苯並環丁烯(BCB)或類似物)形成。在其他實施例中,介電層1008由以下形成:氮化物,例如氮化矽;氧化物,例如氧化矽;磷矽酸鹽玻璃(PSG);硼矽酸鹽玻璃(BSG);摻雜硼的磷矽酸鹽玻璃(BPSG)等;或者類似物。可藉由例如旋轉塗佈、CVD、疊層、類似製程或它們的組合等任何可接受的沈積製程形成介電層1008。
可在介電層1008上形成金屬化圖案1010。作為形成金屬化圖案1010的實例,在介電層1008之上形成晶種層。在一些實施例中,晶種層是金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層及位於所述鈦層之上的銅層。可使用例如物理氣相沈積(PVD)或類似製程形成晶種層。然後在晶種層上形成光阻(未示出)且對所述光阻進行圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以用於圖案化。光阻的圖案對應於金屬化圖案1010。所述圖案化會穿過光阻形成多個開口以暴露出晶種層。在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由例如電鍍或無電鍍覆等鍍覆或者類似製程形成導電材料。導電材料可包括例如銅、鈦、鎢、鋁等金屬。然後,移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似物的可接受的灰化製程(ashing process)或剝除製程(stripping process)移除光阻。一旦光阻被移除,便例如藉由使用可接受的蝕刻製程(例如藉由濕式蝕刻或乾式蝕刻)移除晶種層的被暴露出的部分。晶種層的剩餘部分與導電材料形成金屬化圖案1010。
可在金屬化圖案1010及介電層1008上形成介電層1012。在一些實施例中,介電層1012由聚合物形成,所述聚合物可為例如PBO、聚醯亞胺、BCB或類似物等可使用微影罩幕來圖案化的感光性材料。在其他實施例中,介電層1012由以下形成:氮化物,例如氮化矽;氧化物,例如氧化矽;PSG;BSG;BPSG;或者類似物。可藉由旋轉塗佈、疊層、CVD、類似製程或它們的組合形成介電層1012。然後,對介電層1012進行圖案化以形成暴露出金屬化圖案1010的部分的多個開口1014。可藉由可接受的製程(例如當介電層1012是感光性材料時,藉由將介電層1012暴露於光;或者藉由使用例如非等向性蝕刻進行蝕刻)來執行所述圖案化。若介電層1012是感光性材料,則介電層1012可在曝光後顯影。
出於例示的目的,圖47示出具有單層金屬化圖案1010的重佈線結構1006。在一些實施例中,背側重佈線結構1006可包括任意數目的介電層及金屬化圖案。若欲形成更多的介電層及金屬化圖案,則可重複進行以上所論述的步驟及製程。金屬化圖案可包括一或多個導電部件。可在金屬化圖案的形成期間藉由在下伏介電層的表面之上及下伏介電層的開口中形成晶種層以及金屬化圖案的導電材料來形成導電部件,藉此對各種導線進行內連及電性耦合。
在圖48中,在開口1014中形成多個穿孔1016,且遠離背側重佈線結構1006的最頂部介電層(例如,介電層1012)延伸。作為形成穿孔1016的實例,在背側重佈線結構1006之上(例如,在介電層1012及被開口1014暴露出的金屬化圖案1010的部分上)形成晶種層。在一些實施例中,晶種層是金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在特定實施例中,晶種層包括鈦層及位於所述鈦層之上的銅層。可使用例如PVD或類似製程形成晶種層。在晶種層上形成光阻且對所述光阻進行圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以用於圖案化。光阻的圖案對應於導通孔(例如,穿孔1016)。所述圖案化會穿過光阻形成多個開口以暴露出晶種層。在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由例如電鍍或無電鍍覆等鍍覆或者類似製程形成導電材料。導電材料可包括例如銅、鈦、鎢、鋁等金屬。移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似物的可接受的灰化製程或剝除製程移除光阻。一旦光阻被移除,便例如藉由使用可接受的蝕刻製程(例如藉由濕式蝕刻或乾式蝕刻)移除晶種層的被暴露出的部分。晶種層的剩餘部分與導電材料形成穿孔1016。
在圖49中,藉由黏合劑1018將積體電路封裝體200黏合至介電層1012。封裝區1000A及1000B中的每一者中黏合有所期望類型及數量的積體電路封裝體200。在所示的實施例中,多個積體電路封裝體200彼此相鄰地黏合。儘管示出四個積體電路封裝體200,但是其他積體電路封裝體(例如積體電路封裝體210、300、400、500、600或它們的組合)亦可黏合至介電層1012。在一些實施例中,其他合適的積體電路晶粒、元件堆疊或其他半導體封裝體亦可黏合至介電層1012。當第一封裝區1000A及第二封裝區1000B具有可用於穿孔1016的有限空間時,背側重佈線結構1006的使用允許改善的內連排列方式。
黏合劑1018位於積體電路封裝體200的背側上,且將積體電路封裝體200黏合至背側重佈線結構1006(例如,黏合至介電層1012)。黏合劑1018可為任何合適的黏合劑、環氧樹脂、晶粒貼合膜(die attach film,DAF)或類似物。可將黏合劑1018施用至積體電路封裝體200的背側,若未利用背側重佈線結構1006則可將黏合劑1018施用於載體基底1002的表面之上,或者若適用則可將黏合劑1018施用至背側重佈線結構1006的上表面。舉例而言,可在進行單體化以分離積體電路封裝體200之前將黏合劑1018施用至積體電路封裝體200的背側。
在圖50中,在各種組件上及各種組件周圍形成包封體1020。在包封體1020的形成之後,包封體1020將穿孔1016及積體電路封裝體200包封。包封體1020可為模塑化合物、環氧樹脂或類似物。可藉由壓縮模塑、轉移模塑或類似製程來施用包封體1020,且可在載體基底102之上形成包封體1020,進而使得穿孔1016及/或積體電路封裝體200被掩埋或被覆蓋。在積體電路封裝體200之間的間隙區中進一步形成包封體1020。可透過液體或半液體形式來施用包封體1020,且隨後對包封體1020進行固化。
在圖51中,對包封體1020執行平坦化製程,以暴露出穿孔1016及積體電路封裝體200的接觸接墊193。平坦化製程亦可移除穿孔1016、內連結構190及/或接觸接墊193的材料,直至暴露出接觸接墊193及穿孔1016為止。在平坦化製程之後,穿孔1016的頂表面、內連結構190的頂表面、接觸接墊193的頂表面及包封體1020的頂表面在製程變化內實質上共面。平坦化製程可為例如化學機械拋光(CMP)、研磨製程或類似製程。在一些實施例中,舉例而言,若穿孔1016及/或導電接墊66已被暴露出,則可省略平坦化。
在圖52至圖55中,在包封體1020、穿孔1016及積體電路封裝體200之上形成前側重佈線結構1022(參見圖54)。前側重佈線結構1022包括多個介電層1024、1028、1032及1036以及多個金屬化圖案1026、1030及1034。金屬化圖案亦可稱為重佈線層或重佈線導線。前側重佈線結構1022被示為具有三層金屬化圖案的實例。可在前側重佈線結構1022中形成更多或更少的介電層及金屬化圖案。若欲形成更少的介電層及金屬化圖案,則可省略下文論述的步驟及製程。若欲形成更多的介電層及金屬化圖案,則可重複進行下文論述的步驟及製程。
在圖52中,在包封體1020、穿孔1016及積體電路封裝體200上沈積介電層1024。在一些實施例中,介電層1024由例如PBO、聚醯亞胺、BCB或類似物等可使用微影罩幕來圖案化的感光性材料形成。可藉由旋轉塗佈、疊層、CVD、類似製程或它們的組合來形成介電層1024。然後,對介電層1024進行圖案化。所述圖案化會形成暴露出穿孔1016及接觸接墊193的部分的多個開口。可藉由可接受的製程(例如當介電層1024是感光性材料時,藉由將介電層1024暴露於光並顯影;或者藉由使用例如非等向性蝕刻進行蝕刻)來進行所述圖案化。
然後形成金屬化圖案1026。金屬化圖案1026包括沿著介電層1024的主表面延伸且延伸穿過介電層1024以實體及電性耦合至穿孔1016及積體電路封裝體200的接觸接墊193的多個導電部件。作為形成金屬化圖案1026的實例,在介電層1024之上且在延伸穿過介電層1024的開口中形成晶種層。在一些實施例中,晶種層是金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層及位於所述鈦層之上的銅層。可使用例如PVD或類似製程形成晶種層。然後在晶種層上形成光阻且對所述光阻進行圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以用於圖案化。光阻的圖案對應於金屬化圖案1026。所述圖案化會穿過光阻形成多個開口以暴露出晶種層。然後在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由例如電鍍或無電鍍覆等鍍覆或者類似製程形成導電材料。導電材料可包括例如銅、鈦、鎢、鋁等金屬。導電材料與晶種層的下伏部分的組合形成金屬化圖案1026。移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似物的可接受的灰化製程或剝除製程移除光阻。一旦光阻被移除,便例如藉由使用可接受的蝕刻製程(例如藉由濕式蝕刻或乾式蝕刻)移除晶種層的被暴露出的部分。
在圖53中,在金屬化圖案1026及介電層1024上沈積介電層1028。可透過與介電層1024相似的方式形成介電層1028,且介電層1028可由與介電層1024相似的材料形成。
然後形成金屬化圖案1030。金屬化圖案1030包括位於介電層1028的主表面上且沿著所述主表面延伸的部分。金屬化圖案1030更包括延伸穿過介電層1028以實體及電性耦合至金屬化圖案1026的部分。可透過與金屬化圖案1026相似的方式形成金屬化圖案1030,且金屬化圖案1030可由與金屬化圖案1026相似的材料形成。在一些實施例中,金屬化圖案1030具有與金屬化圖案1026不同的大小。舉例而言,金屬化圖案1030的導電線及/或導通孔可寬於或厚於金屬化圖案1026的導電線及/或導通孔。此外,可將金屬化圖案1030形成為較金屬化圖案1026大的節距。
在圖54中,在金屬化圖案1030及介電層1028上沈積介電層1032。可透過與介電層1024相似的方式形成介電層1032,且介電層1032可由與介電層1024相似的材料形成。
然後形成金屬化圖案1034。金屬化圖案1034包括位於介電層1032的主表面上且沿著所述主表面延伸的部分。金屬化圖案1034更包括延伸穿過介電層1032以實體及電性耦合至金屬化圖案1030的部分。可透過與金屬化圖案1026相似的方式形成金屬化圖案1034,且金屬化圖案1034可由與金屬化圖案1026相似的材料形成。金屬化圖案1034是前側重佈線結構1022的最頂部金屬化圖案。因此,前側重佈線結構1022的所有中間金屬化圖案(例如,金屬化圖案1026及1030)設置於金屬化圖案1034與積體電路封裝體200之間。在一些實施例中,金屬化圖案1034具有與金屬化圖案1026及1030不同的大小。舉例而言,金屬化圖案1034的導電線及/或導通孔可寬於或厚於金屬化圖案1026及1030的導電線及/或導通孔。此外,可將金屬化圖案1034形成為較金屬化圖案1030大的節距。
在圖55中,在金屬化圖案1034及介電層1032上沈積介電層1036。可透過與介電層1024相似的方式形成介電層1036,且介電層1036可由與介電層1024相同的材料形成。介電層1036是前側重佈線結構1022的最頂部介電層。因此,前側重佈線結構1022的所有金屬化圖案(例如,金屬化圖案1026、1030及1034)設置於介電層1036與積體電路封裝體200之間。此外,前側重佈線結構1022的所有中間介電層(例如,介電層1024、1028、1032)設置於介電層1036與積體電路封裝體200之間。
在圖56中,形成多個凸塊下金屬(UBM)1038以用於與前側重佈線結構1022進行外部連接。凸塊下金屬1038具有位於介電層1036的主表面上且沿著所述主表面延伸的凸塊部分,且具有延伸穿過介電層1036以實體及電性耦合至金屬化圖案1034的通孔部分。因此,凸塊下金屬1038電性耦合至穿孔1016及積體電路封裝體200。凸塊下金屬1038可由與金屬化圖案1026相同的材料形成。在一些實施例中,凸塊下金屬1038具有與金屬化圖案1026、1030及1034不同的大小。
在圖57中,在凸塊下金屬1038上形成多個導電連接件1050。導電連接件1050可為球柵陣列(ball grid array,BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique,ENEPIG)形成的凸塊或類似物。導電連接件1050可包含例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或它們的組合等導電材料。在一些實施例中,藉由最初透過蒸鍍、電鍍、印刷、焊料轉移、植球或類似製程形成焊料層來形成導電連接件1050。一旦已在所述結構上形成焊料層,便可執行回焊,以便將所述材料成形為所期望的凸塊形狀。在另一實施例中,導電連接件1050包括藉由濺鍍、印刷、電鍍、無電鍍覆、CVD或類似製程形成的金屬柱(例如銅柱)。金屬柱可不含焊料,且具有實質上垂直的側壁。在一些實施例中,在金屬柱的頂部上形成金屬頂蓋層。金屬頂蓋層可包含鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金、類似物或它們的組合,且可藉由鍍覆製程形成金屬頂蓋層。
在圖58中,執行載體基底剝離,以將載體基底1002自背側重佈線結構1006(例如,介電層1008)拆離(或「剝離」)。根據一些實施例,所述剝離包括將例如雷射光或UV光等光投射於釋放層1004上,以使得釋放層1004在光的熱量下分解,且載體基底1002可被移除。然後將所述結構上下翻轉並放置於膠帶(tape)(未示出)上。
在圖59中,形成多個導電連接件1052延伸穿過介電層1008以接觸金屬化圖案1010。形成多個開口穿過介電層1008,以暴露出金屬化圖案1010的多個部分。舉例而言,可使用雷射鑽孔、蝕刻或類似方法來形成開口。在所述多個開口中形成導電連接件1052。在一些實施例中,導電連接件1052包括焊劑,且導電連接件1052在焊劑浸漬製程中形成。在一些實施例中,導電連接件1052包含例如焊料膏、銀膏或類似物等導電膏,且是在印刷製程中進行分配。在一些實施例中,以與導電連接件1050相似的方式形成導電連接件1052,且導電連接件1052可由與導電連接件1050相似的材料形成。
根據一些實施例,圖60、圖61A、圖61B及圖62示出可使用圖59所示的第一封裝組件1000來執行的附加處理。元件堆疊亦可稱為疊層封裝(PoP)結構。
在圖60中,將第二封裝組件2000耦合至第一封裝組件1000。將第二封裝組件2000中的一者耦合於封裝區1000A及1000B中的每一者中,以在第一封裝組件1000的每一區中形成積體電路元件堆疊(integrated circuit device stack)。
第二封裝組件2000中的每一者包括例如基底2002以及耦合至基底2002的一或多個堆疊晶粒2010(例如,堆疊晶粒2010A與堆疊晶粒2010B)。儘管示出耦合至基底2002中的每一者的一組堆疊晶粒2010(2010A與2010B),然而在其他實施例中,可將多個堆疊晶粒210(各自具有一或多個堆疊晶粒)設置成並排地耦合至基底2002的同一表面。基底2002可由例如有機基底、矽、鍺、金剛石或類似物等半導體材料製成,且可包括主動或被動元件(未示出)。基底2002可具有:多個接合接墊2004,位於基底2002的第一側上以耦合至堆疊晶粒2010;以及多個接合接墊2006,位於基底2002的第二側上,所述第二側與基底2002的第一側相對,以耦合至導電連接件1052。在一些實施例中,接合接墊2004及2006的導電材料是銅、鎢、鋁、銀、金、類似材料、或者它們的組合。在一些實施例中,多個導通孔2008延伸穿過基底2002,並將接合接墊2004中的至少一者耦合至接合接墊2006中的至少一者。在所示實施例中,堆疊晶粒2010藉由多個配線接合(wire bond)2012耦合至基底2002,然而亦可使用其他連接,例如導電凸塊。在實施例中,堆疊晶粒2010是堆疊記憶體晶粒。堆疊晶粒2010及配線接合2012可被模塑材料2014(例如,模塑化合物、聚合物、環氧樹脂、氧化矽填充材料、類似材料、或者它們的組合)封裝。
在形成第二封裝組件2000之後,藉由導電連接件1052、接合接墊2006及背側重佈線結構1006的金屬化圖案將第二封裝部件2000機械接合至及電性接合至第一封裝組件1000。在一些實施例中,可藉由配線接合2012、接合接墊2004及2006、導通孔2008、導電連接件1052、背側重佈線結構1006、穿孔1016及前側重佈線結構1022將堆疊晶粒2010耦合至積體電路封裝體200。
在圖61A中,藉由沿著例如位於第一封裝區1000A與第二封裝區1000B之間的切割道區進行鋸切來執行單體化製程。所述鋸切會將第一封裝區1000A與第二封裝區1000B單體化。所得的單體化的元件堆疊來自第一封裝區1000A或第二封裝區1000B中的一者。在一些實施例中,在將第二封裝組件2000耦合至第一封裝組件1000之後執行單體化製程。在其他實施例(未示出)中,在將第二封裝組件2000耦合至第一封裝組件1000之前(例如在剝離載體基底1002且形成導電連接件1052之後)執行單體化製程。
圖61B示出根據一些實施例的接合至前側重佈線結構1022的積體被動元件(integrated passive device,IPD)或表面安裝元件(surface mount device,SMD)1058。表面安裝元件1058可為電容器晶粒、電阻器晶粒、電感器晶粒或類似物。
在圖62中,可使用導電連接件1050將每一單體化的第一封裝組件1000安裝至封裝基底3000。封裝基底3000包括基底芯體3002及位於基底芯體3002之上的多個接合接墊3004。基底芯體3002可由例如矽、鍺、金剛石或類似物等半導體材料製成。作為另一選擇,亦可使用例如矽鍺、碳化矽、鎵砷、砷化銦、磷化銦、碳化矽鍺、磷化鎵砷、磷化鎵銦、該些材料的組合及類似物等化合物材料。另外,基底芯體3002可為SOI基底。一般而言,SOI基底包括由例如磊晶矽、鍺、矽鍺、SOI、SGOI或它們的組合等半導體材料構成的層。在一個替代性實施例中,基底芯體3002是基於例如玻璃纖維加強型樹脂芯體等絕緣芯體。一種示例性芯體材料是例如FR4等玻璃纖維樹脂。芯體材料的替代品包括雙馬來醯亞胺-三嗪BT樹脂,或者作為另一選擇包括其他PCB材料或膜。可對基底芯體3002使用例如ABF等構成膜或其他疊層體。
基底芯體3002可包括主動元件及被動元件(未示出)。可使用各種各樣的元件(例如電晶體、電容器、電阻器、該些的組合及類似物)來產生元件堆疊的設計的結構要求及功能要求。可使用任何合適的方法來形成所述元件。
基底芯體3002亦可包括多個金屬化層及多個通孔(未示出),其中接合接墊3004實體耦合至及/或電性耦合至金屬化層及通孔。可在主動元件及被動元件之上形成金屬化層,且將金屬化層設計成連接各種元件以形成功能電路系統。金屬化層可由介電材料(例如,低介電常數介電材料)與導電材料(例如,銅)構成的多個交替層形成,其中通孔對導電材料層進行內連,且可藉由任何合適的製程(例如沈積、鑲嵌、雙鑲嵌或類似製程)形成所述金屬化層。在一些實施例中,基底芯體3002實質上不含主動元件及被動元件。
在一些實施例中,對導電連接件1050進行回焊以將第一封裝組件1000貼合至接合接墊3004。導電連接件1050將封裝基底3000(包括基底芯體3002中的金屬化層)電性耦合至及/或實體耦合至第一封裝組件1000。在一些實施例中,在基底芯體3002上形成阻焊劑3006。可在阻焊劑3006的多個開口中設置導電連接件1050,以電性耦合及機械耦合至接合接墊3004。可使用阻焊劑3006來保護基底3002的區域免受外部損傷。
導電連接件1050在被回焊之前可具有形成於其上的環氧焊劑(epoxy flux)(未示出),其中在將第一封裝組件1000貼合至封裝基底3000之後,環氧焊劑的至少一些環氧部分保留下來。此保留下來的環氧部分可充當底部填充膠,以減少應力並保護由對導電連接件1050進行回焊產生的多個接頭(joint)。在一些實施例中,可在第一封裝組件1000與封裝基底3000之間形成環繞導電連接件1050的底部填充膠3008。可在貼合第一封裝組件1000之後藉由毛細流動製程形成底部填充膠3008,或者可在貼合第一封裝組件1000之前藉由合適的沈積方法形成底部填充膠3008。
在一些實施例中,亦可將被動元件(例如,表面安裝元件(surface mount device,SMD)1058,如以上圖61A中所示)貼合至第一封裝組件1000(例如,貼合至凸塊下金屬1038)或貼合至封裝基底3000(例如,貼合至接合接墊3004)。舉例而言,可將被動元件接合至第一封裝組件1000或封裝基底3000的與導電連接件1050相同的表面。可在將第一封裝組件1000安裝於封裝基底3000上之前將被動元件貼合至封裝組件1000,或者可在將第一封裝組件1000安裝於封裝基底3000上之前或之後將被動元件貼合至封裝基底3000。
可在其他元件堆疊中實施第一封裝組件1000。舉例而言,示出PoP結構,但其可在倒裝晶片球柵陣列(Flip Chip Ball Grid Array,FCBGA)封裝體中實施第一封裝組件1000。在此種實施例中,將第一封裝組件1000安裝至例如封裝基底3000等基底,但省略第二封裝組件2000。取而代之,可將蓋(lid)或散熱器貼合至第一封裝組件1000。當省略第二封裝組件2000時,亦可省略背側重佈線結構1006及穿孔1016。
圖63示出倒裝晶片的晶片級封裝體(flip-chip chip-level package,FCCSP)4000,其包括接合至封裝組件4014的積體電路封裝體200,所述積體電路封裝體200參照以上圖19A的論述。封裝組件4014可由包括多個重佈線層、封裝基底、中介層、印刷電路板或類似物的內連結構形成或包括所述內連結構。所述接合可包括混合接合、焊料(倒裝晶片)接合、金屬對金屬直接接合或類似接合。可在積體電路封裝體200與封裝組件4014之間的間隙中分配底部填充膠4016。可進一步分配包封體4018以包封積體電路封裝體200。多個外部連接件4020(例如,BGA連接件)可耦合至封裝組件4014的多個導電特徵。
圖64示出基底上晶圓上晶片(Chip-on-Wafer-on-Substrate,CoWoS)結構5000,其包括接合至封裝組件5010的積體電路封裝體200。封裝組件5010可為中介層晶片、元件晶片或類似晶片。在封裝組件5010中形成多個穿孔5012,且穿孔5012穿透過封裝組件5010的基底。將封裝組件5010進一步接合至封裝組件5034,封裝組件5034可為封裝基底、印刷電路板或類似物。穿孔5012可藉由多個連接件5020(例如受控塌陷晶片連接(C4)凸塊)耦合至封裝組件5034的多個導電特徵。根據一些實施例,將多個封裝組件5026(可為元件晶片、堆疊元件晶片、虛設矽、封裝體、記憶體立方體或類似物)進一步接合至封裝組件5010且例如藉由封裝組件5010中的多個重佈線導線將封裝組件5026電性連接至積體電路封裝體200。進一步分配底部填充膠5031及包封體5018。可將多個外部連接件5022(例如,BGA連接件)耦合至封裝組件5034的多個導電特徵。
圖65示出基底上晶圓上晶片(CoWoS)結構,其中積體電路封裝體200充當晶片且電性連接至封裝組件6014。封裝組件6014可為中介層晶圓,因此所得結構被稱為晶圓上晶片(CoW)結構。在一些實施例中,封裝組件6014可由包括多個重佈線層、封裝基底、中介層、印刷電路板或類似物的內連結構形成或包括所述內連結構。然後將所得的CoW結構鋸切成多個封裝體,並將所述多個封裝體中的一者接合至封裝基底6034。可藉由多個連接件6020(例如受控塌陷晶片連接(C4)凸塊)將封裝組件6014的多個導電特徵(例如導電接墊)耦合至封裝基底6034的多個導電特徵。中介層6014可不包括主動元件,且可不包括或包括被動元件。在中介層6014與封裝基底6034之間分配底部填充膠6031。此外,將封裝組件6026(可為元件晶片、堆疊晶片、虛設矽、封裝體、記憶體立方體或類似物)接合至封裝組件6014。包封體6018中包封有積體電路封裝體200及封裝組件6026。可將多個外部連接件6022(例如,BGA連接件)耦合至封裝基底6034的多個導電特徵。
上述實施例可達成各種優點。在3D積體電路(3DIC)封裝體的多個堆疊層次(例如三個或更多層次)中,更佳的系統電源效率及熱管理可能具有挑戰性。3DIC封裝體中的最頂部晶片可透過面對面(F2F)堆疊的方式接合,且3DIC封裝體的其他接合可為面對背(F2B)堆疊。所述接合可使用無凸塊接合及/或金屬(舉例而言(例如),Cu)微凸塊倒裝晶片接合。3DIC封裝體的接合節距可自最頂部層次至最底部層次以單調遞增的次序排列,其中最頂部接合層次具有最精細的接合節距,且最底部層次具有最粗糙的接合節距。藉由將電源軌條或配電網路(PDN)積體化在位於3DIC封裝體中間的至少一個電源軌條晶片的背側上,可改善堆疊系統的電源效率及熱管理。PDN可在每一接合層次介面處藉由無凸塊接合及/或微凸塊接合與位於電源軌條晶片上方及下方的功能晶片整合在一起。
根據一個實施例,一種半導體元件包括:第一晶粒,所述第一晶粒包括第一基底、位於所述第一基底的背側上的第一內連結構、位於所述第一基底的主動側上的第二內連結構、以及位於所述第二內連結構上的第一多個接合接墊,所述第一多個接合接墊具有第一節距;第二晶粒,位於所述第一晶粒上,所述第二晶粒包括:第二基底;位於所述第二基底的主動側上的第三內連結構,所述第三內連結構包括第一通孔結構,所述第一通孔結構具有隨著所述第一通孔結構遠離所述第二基底延伸而增大的寬度,所述第一通孔結構包括第一擴散阻擋層及位於所述第一擴散阻擋層之上的第一導電材料;位於所述第二基底的背側上的第四內連結構,所述第四內連結構包括第二通孔結構,所述第二通孔結構具有隨著所述第二通孔結構遠離所述第二基底延伸而增大的寬度,所述第二通孔結構包括第二擴散阻擋層及位於所述第二擴散阻擋層之上的第二導電材料;位於所述第四內連結構上的配電網路(PDN)結構,使得所述第四內連結構夾置於所述PDN結構與所述第二基底之間;位於所述PDN結構上的第二多個接合接墊,所述第二多個接合接墊接合至所述第一多個接合接墊;以及位於所述第三內連結構上的第三多個接合接墊,所述第三多個接合接墊具有第二節距,所述第二節距小於所述第一節距;以及第三晶粒,位於所述第二晶粒上,其中所述第二晶粒夾置於所述第一晶粒與所述第三晶粒之間,所述第三晶粒包括第三基底以及位於所述第三基底的主動側上的第四多個接合接墊,所述第四多個接合接墊接合至所述第三多個接合接墊。在一個實施例中,所述第一基底的側壁、所述第二基底的側壁及所述第三基底的側壁在側向上對準。在一個實施例中,所述半導體元件更包括與所述第二基底的側壁相鄰的介電材料,所述介電材料夾置於所述第一晶粒與所述第四內連結構之間。在一個實施例中,在俯視圖中所述第四內連結構的多個導電線具有第一寬度,在所述俯視圖中所述PDN結構的多個導電線具有第二寬度,且所述第二寬度大於所述第一寬度。在一個實施例中,所述第一晶粒藉由所述第一多個接合接墊中的多個相應接墊與所述第二多個接合接墊中的多個相應接墊之間的金屬-金屬接合而接合至所述第二晶粒。在一個實施例中,所述第四內連結構更包括多個嵌入式電源組件元件。
根據另一個實施例,一種半導體元件包括:第一晶粒,所述第一晶粒包括第一基底,第一多個基底穿孔(TSV)、所述第一多個基底穿孔中的每一基底穿孔穿過所述第一基底延伸,位於所述第一基底的第一側上的第一內連結構,位於所述第一基底的第二側上的第一元件層,以及位於所述第一元件層上的第二內連結構,其中所述第一基底夾置於所述第一內連結構與所述第二內連結構之間;第二晶粒,位於所述第一晶粒上,所述第二晶粒藉由多個第一接合件直接接合至所述第一晶粒,所述多個第一接合件具有第一節距,所述第二晶粒包括:第二基底;位於所述第二基底的第一側上的第三內連結構,所述第三內連結構包括第一介電層及穿過所述第一介電層延伸的第一內連件,所述第一內連件包括第一通孔及第一導線,所述第一導線具有第一厚度,所述第一介電層的第一表面與所述第一通孔的第一表面齊平,所述第一介電層的第二表面與所述第一導線的第二表面齊平,所述第一介電層的所述第一表面較所述第一介電層的所述第二表面更靠近所述第二基底;位於所述第三內連結構上的配電網路(PDN)層,所述PDN層的導電線具有第二厚度,所述第二厚度大於所述第一厚度;位於所述PDN層上的電源組件層;第二多個基底穿孔(TSV),所述第二多個基底穿孔中的每一基底穿孔穿過所述第二基底延伸;以及位於所述第二基底的第二側上的第四內連結構,所述第四內連結構包括第二介電層及穿過所述第二介電層延伸的第二內連件,所述第二內連件包括第二通孔及第二導線,所述第二介電層的第一表面與所述第二通孔的第一表面齊平,所述第二介電層的第二表面與所述第二導線的第二表面齊平,所述第二介電層的所述第一表面較所述第二介電層的所述第二表面更靠近所述第二基底;以及第三晶粒,藉由多個第二接合件直接接合至所述第二晶粒,所述多個第二接合件具有小於所述第一節距的第二節距,所述第三晶粒包括第三基底、位於所述第三基底的第一側上的第二元件層以及位於所述第二元件層上的第五內連結構,其中所述第二元件層夾置於所述第三基底與所述第五內連結構之間。在一個實施例中,所述第三內連結構的多個導電特徵具有第三節距,所述PDN層的多個導電特徵具有第四節距,且所述第四節距大於所述第三節距。在一個實施例中,所述第一晶粒使用金屬-金屬接合及氧化物-氧化物接合而直接接合至所述第二晶粒。在一個實施例中,所述第一晶粒使用多個焊料區直接接合至所述第二晶粒。在一個實施例中,所述半導體元件更包括設置於所述第一晶粒與所述第二晶粒之間的底部填充膠。在一個實施例中,所述半導體元件更包括沿著所述第一基底的側壁的包封體。在一個實施例中,所述包封體夾置於所述第一內連結構與所述第三內連結構之間。在一個實施例中,所述第二晶粒不包括主動元件。在一個實施例中,所述第一通孔的側壁及所述第一導線的側壁被第一擴散阻擋層覆蓋,且所述第二通孔的側壁及所述第二導線的側壁被第二擴散阻擋層覆蓋。
根據又一個實施例,一種形成半導體元件的方法包括:在第一晶圓的第一表面上形成第一接合層及第一多個接合接墊;在第二晶圓上形成第一半全域內連件,所述第二晶圓具有嵌入位於所述第一半全域內連件之下的第一基底中的第一多個基底穿孔(TSV),所述形成所述第一半全域內連件包括在所述第一基底之上形成第一介電層、以及使用鑲嵌製程在所述第一介電層中形成第一通孔,所述第一通孔具有隨著所述第一通孔遠離所述第一基底延伸而增大的第一寬度;在所述第一半全域內連件上形成第二接合層及第二多個接合接墊;將所述第一晶圓接合至所述第二晶圓,所述接合包括:將所述第一接合層接合至所述第二接合層;以及將所述第一多個接合接墊中的每一接合接墊與所述第二多個接合接墊中的相應的一個接合接墊接合;移除所述第一基底的頂部部分,以暴露出所述第一多個基底穿孔中的每一基底穿孔的相應端部;在所述第一基底的剩餘部分之上形成第二半全域內連件,包括在所述第一基底之上形成第二介電層、以及使用鑲嵌製程在所述第二介電層中形成第二通孔,所述第二通孔具有隨著所述第二通孔遠離所述第一基底延伸而增大的第二寬度;在所述第二半全域內連件之上形成配電網路(PDN)層,所述PDN層的第三通孔具有第三寬度,所述第三寬度大於所述第一寬度;在所述第二晶圓的第二表面上的所述第二半全域內連件之上形成第三接合層及第三多個接合接墊,所述第二晶圓的所述第二表面與所述第二晶圓的所述第一表面相對;在第三晶圓的第一表面上形成第四接合層及第四多個接合接墊;以及將所述第二晶圓接合至所述第三晶圓,所述接合包括將所述第三接合層接合至所述第四接合層、以及將所述第三多個接合接墊中的每一接合接墊與所述第四多個接合接墊中的相應的一個接合接墊接合。在一個實施例中,所述方法更包括:移除所述第三晶圓的第三基底的頂部部分,所述移除暴露出嵌入於所述第三基底中的第二多個基底穿孔中的每一基底穿孔;在所述第三基底的剩餘部分之上形成全域內連結構;以及在所述全域內連結構上形成多個連接件。在一個實施例中,所述第一多個接合接墊及所述第二多個接合接墊具有第一節距,所述第三多個接合接墊及所述第四多個接合接墊具有第二節距,且所述第一節距大於所述第二節距。在一個實施例中,形成所述第一通孔包括沿著所述第一介電層中的第一開口的側壁形成第一擴散阻擋層,且其中形成所述第二通孔包括沿著所述第二介電層中的第二開口的側壁形成第二擴散阻擋層。在一個實施例中,所述方法更包括在所述PDN層上形成電源組件層。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。
50:積體電路晶圓/頂部晶圓
51:切割道
52、72、152:半導體基底/基底
53、73、153:元件層
54、74、154:元件
55:頂部晶片/頂部晶粒
56、76、156:層間介電質(ILD)
58、78、158:導電插塞
60、100A、100D、160:半全域內連件/內連結構
60A:第一內連層級
60B:第二內連層級
61:區
62、92、132、182:接墊
63A:第一內連層級/金屬間介電層/第一金屬間介電層
63B:金屬間介電層
64、94、134、184:鈍化膜
65A:導通孔/導電特徵
65B:導通孔
66:導電接墊/接合接墊
67A:導電線/導電特徵
67B:導電線
68、98、138、188:接合層
69A、69B:導電擴散阻擋襯墊
70、270:電源軌條晶圓
75、275:電源軌條晶片
80:半全域內連件/內連結構/半全域內連層
81、102、114、126、2008:導通孔
82、172、282:基底穿孔(TSV)
83、104、112:導電線
84:接觸件
86、118:導電特徵
96、136、186:導電接墊
100B:配電網路(PDN)層
100C:嵌入式電源組件層/積體電源組件層/被動元件層
116、124、1008、1012、1024、1028、1032、1036:介電層
122:電源組件元件
125、1016、5012:穿孔(TV)
150、350:底部晶圓
155:底部晶片/底部晶粒
190、290:全域內連件/內連結構
191、291、4020、5022、6022:外部連接件
193:接觸接墊
192:受控塌陷晶片連接(C4)凸塊
194:微凸塊
196:支柱/通孔
198:球柵陣列(BGA)連接件
200、300、400、500:積體電路封裝體/元件堆疊
202、212:電源
210、600:積體電路封裝體
215、255:頂部晶片
234、236、1050、1052:導電連接件
238:焊料區
240、520、3008、4016、5031、6031:底部填充膠
250、450:頂部晶圓
355:底部晶片
402、404:介電材料
455:頂部晶粒
470:電源軌條晶粒
510、550:底部晶粒
522、1020、4018、5018、6018:包封體
670:晶圓
675:晶粒/電源軌條晶粒
1000:封裝組件/第一封裝組件
1000A:第一封裝區/封裝區
1000B:第二封裝區/封裝區
1002:載體基底
1004:釋放層
1006:背側重佈線結構/重佈線結構
1010、1026、1030、1034:金屬化圖案
1014:開口
1018:黏合劑
1022:前側重佈線結構
1038:凸塊下金屬(UBM)
1058:表面安裝元件(SMD)
2000:第二封裝組件
2002:基底
2004、2006、3004:接合接墊
2010、2010A、2010B:堆疊晶粒
2012:配線接合
2014:模塑材料
3000:封裝基底
3002:基底芯體
3006:阻焊劑
4000:倒裝晶片晶片級封裝體(FCCSP)
4014、5010、5026、5034、6026:封裝組件
5000:基底上晶圓上晶片(CoWoS)結構
5020、6020:連接件
6014:封裝組件/中介層
6034:封裝基底
P1、P2:節距
結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1A至圖19B示出根據一些實施例的用於形成封裝組件的製程期間的中間步驟的剖視圖。
圖20至圖24示出根據一些實施例的用於形成另一封裝組件的製程期間的中間步驟的剖視圖。
圖25至圖35示出根據一些實施例的用於形成又一封裝組件的製程期間的中間步驟的剖視圖。
圖36至圖43示出根據一些實施例的用於形成又一封裝組件的製程期間的中間步驟的剖視圖。
圖44及圖45示出根據一些實施例的用於形成又一封裝組件的製程期間的中間步驟的剖視圖。
圖46至圖59示出根據一些實施例的用於形成又一封裝組件的製程期間的中間步驟的剖視圖。
圖60、圖61A、圖61B及圖62示出根據一些實施例的元件堆疊的形成及實施的剖視圖。
圖63至圖65示出根據一些實施例的封裝體的剖視圖。
52、72、152:半導體基底/基底
53、73、153:元件層
55:頂部晶片/頂部晶粒
60、100A、100D、160:半全域內連件/內連結構
66:導電接墊/接合接墊
75:電源軌條晶片
80:半全域內連件/內連結構/半全域內連層
82、172:基底穿孔(TSV)
96、136、186:導電接墊
100B:配電網路(PDN)層
100C:嵌入式電源組件層/積體電源組件層/被動元件層
122:電源組件元件
155:底部晶片/底部晶粒
190:全域內連件/內連結構
191:外部連接件
192:受控塌陷晶片連接(C4)凸塊/
194:微凸塊
196:支柱/通孔
198:球柵陣列(BGA)連接件
200:積體電路封裝體/元件堆疊
202:電源
P1、P2:節距
Claims (20)
- 一種半導體元件,包括: 第一晶粒,所述第一晶粒包括: 第一基底; 第一內連結構,位於所述第一基底的背側上; 第二內連結構,位於所述第一基底的主動側上;以及 第一多個接合接墊,位於所述第二內連結構上,所述第一多個接合接墊具有第一節距; 第二晶粒,位於所述第一晶粒上,所述第二晶粒包括: 第二基底; 第三內連結構,位於所述第二基底的主動側上,所述第三內連結構包括第一通孔結構,所述第一通孔結構具有隨著所述第一通孔結構遠離所述第二基底延伸而增大的寬度,所述第一通孔結構包括第一擴散阻擋層及位於所述第一擴散阻擋層之上的第一導電材料; 第四內連結構,位於所述第二基底的背側上,所述第四內連結構包括第二通孔結構,所述第二通孔結構具有隨著所述第二通孔結構遠離所述第二基底延伸而增大的寬度,所述第二通孔結構包括第二擴散阻擋層及位於所述第二擴散阻擋層之上的第二導電材料; 配電網路結構,位於所述第四內連結構上,使得所述第四內連結構夾置於所述配電網路結構與所述第二基底之間; 第二多個接合接墊,位於所述配電網路結構上,所述第二多個接合接墊接合至所述第一多個接合接墊;以及 第三多個接合接墊,位於所述第三內連結構上,所述第三多個接合接墊具有第二節距,所述第二節距小於所述第一節距;以及 第三晶粒,位於所述第二晶粒上,其中所述第二晶粒夾置於所述第一晶粒與所述第三晶粒之間,所述第三晶粒包括: 第三基底;以及 第四多個接合接墊,位於所述第三基底的主動側上,所述第四多個接合接墊接合至所述第三多個接合接墊。
- 如請求項1所述的半導體元件,其中所述第一基底的側壁、所述第二基底的側壁及所述第三基底的側壁在側向上對準。
- 如請求項1所述的半導體元件,更包括與所述第二基底的側壁相鄰的介電材料,所述介電材料夾置於所述第一晶粒與所述第四內連結構之間。
- 如請求項1所述的半導體元件,其中在俯視圖中所述第四內連結構的多個導電線具有第一寬度,在所述俯視圖中所述配電網路結構的多個導電線具有第二寬度,且所述第二寬度大於所述第一寬度。
- 如請求項1所述的半導體元件,其中所述第一晶粒藉由所述第一多個接合接墊中的多個相應接墊與所述第二多個接合接墊中的多個相應接墊之間的金屬-金屬接合而接合至所述第二晶粒。
- 如請求項1所述的半導體元件,其中所述第四內連結構更包括多個嵌入式電源組件元件。
- 一種半導體元件,包括: 第一晶粒,所述第一晶粒包括: 第一基底; 第一多個基底穿孔,所述第一多個基底穿孔中的每一基底穿孔穿過所述第一基底延伸; 第一內連結構,位於所述第一基底的第一側上; 第一元件層,位於所述第一基底的第二側上;以及 第二內連結構,位於所述第一元件層上,其中所述第一基底夾置於所述第一內連結構與所述第二內連結構之間; 第二晶粒,位於所述第一晶粒上,所述第二晶粒藉由多個第一接合件直接接合至所述第一晶粒,所述多個第一接合件具有第一節距,所述第二晶粒包括: 第二基底; 第三內連結構,位於所述第二基底的第一側上,所述第三內連結構包括第一介電層及穿過所述第一介電層延伸的第一內連件,所述第一內連件包括第一通孔及第一導線,所述第一導線具有第一厚度,所述第一介電層的第一表面與所述第一通孔的第一表面齊平,所述第一介電層的第二表面與所述第一導線的第二表面齊平,所述第一介電層的所述第一表面較所述第一介電層的所述第二表面更靠近所述第二基底; 配電網路層,位於所述第三內連結構上,所述配電網路層的導電線具有第二厚度,所述第二厚度大於所述第一厚度; 電源組件層,位於所述配電網路層上; 第二多個基底穿孔,所述第二多個基底穿孔中的每一基底穿孔穿過所述第二基底延伸;以及 第四內連結構,位於所述第二基底的第二側上,所述第四內連結構包括第二介電層及穿過所述第二介電層延伸的第二內連件,所述第二內連件包括第二通孔及第二導線,所述第二介電層的第一表面與所述第二通孔的第一表面齊平,所述第二介電層的第二表面與所述第二導線的第二表面齊平,所述第二介電層的所述第一表面較所述第二介電層的所述第二表面更靠近所述第二基底;以及 第三晶粒,藉由多個第二接合件直接接合至所述第二晶粒,所述多個第二接合件具有小於所述第一節距的第二節距,所述第三晶粒包括: 第三基底; 第二元件層,位於所述第三基底的第一側上;以及 第五內連結構,位於所述第二元件層上,其中所述第二元件層夾置於所述第三基底與所述第五內連結構之間。
- 如請求項7所述的半導體元件,其中所述第三內連結構的多個導電特徵具有第三節距,所述配電網路層的多個導電特徵具有第四節距,且所述第四節距大於所述第三節距。
- 如請求項7所述的半導體元件,其中所述第一晶粒使用金屬-金屬接合及氧化物-氧化物接合而直接接合至所述第二晶粒。
- 如請求項7所述的半導體元件,其中所述第一晶粒使用多個焊料區直接接合至所述第二晶粒。
- 如請求項7所述的半導體元件,更包括設置於所述第一晶粒與所述第二晶粒之間的底部填充膠。
- 如請求項11所述的半導體元件,更包括沿著所述第一基底的側壁的包封體。
- 如請求項12所述的半導體元件,其中所述包封體夾置於所述第一內連結構與所述第三內連結構之間。
- 如請求項7所述的半導體元件,其中所述第二晶粒不包括主動元件。
- 如請求項7所述的半導體元件,其中所述第一通孔的側壁及所述第一導線的側壁被第一擴散阻擋層覆蓋,且所述第二通孔的側壁及所述第二導線的側壁被第二擴散阻擋層覆蓋。
- 一種形成半導體元件的方法,所述方法包括: 在第一晶圓的第一表面上形成第一接合層及第一多個接合接墊; 在第二晶圓上形成第一半全域內連件,所述第二晶圓具有嵌入位於所述第一半全域內連件之下的第一基底中的第一多個基底穿孔,所述形成所述第一半全域內連件包括: 在所述第一基底之上形成第一介電層;以及 使用鑲嵌製程在所述第一介電層中形成第一通孔,所述第一通孔具有隨著所述第一通孔遠離所述第一基底延伸而增大的第一寬度; 在所述第一半全域內連件上形成第二接合層及第二多個接合接墊; 將所述第一晶圓接合至所述第二晶圓,所述接合包括:將所述第一接合層接合至所述第二接合層;以及將所述第一多個接合接墊中的每一接合接墊與所述第二多個接合接墊中的相應的一個接合接墊接合; 移除所述第一基底的頂部部分,以暴露出所述第一多個基底穿孔中的每一基底穿孔的相應端部; 在所述第一基底的剩餘部分之上形成第二半全域內連件,包括: 在所述第一基底之上形成第二介電層;以及 使用鑲嵌製程在所述第二介電層中形成第二通孔,所述第二通孔具有隨著所述第二通孔遠離所述第一基底延伸而增大的第二寬度; 在所述第二半全域內連件之上形成配電網路層,所述配電網路層的第三通孔具有第三寬度,所述第三寬度大於所述第一寬度; 在所述第二晶圓的第二表面上的所述第二半全域內連件之上形成第三接合層及第三多個接合接墊,所述第二晶圓的所述第二表面與所述第二晶圓的所述第一表面相對; 在第三晶圓的第一表面上形成第四接合層及第四多個接合接墊;以及 將所述第二晶圓接合至所述第三晶圓,所述接合包括:將所述第三接合層接合至所述第四接合層;以及將所述第三多個接合接墊中的每一接合接墊與所述第四多個接合接墊中的相應的一個接合接墊接合。
- 如請求項16所述的方法,更包括: 移除所述第三晶圓的第三基底的頂部部分,所述移除暴露出嵌入於所述第三基底中的第二多個基底穿孔中的每一基底穿孔; 在所述第三基底的剩餘部分之上形成全域內連結構;以及 在所述全域內連結構上形成多個連接件。
- 如請求項16所述的方法,其中所述第一多個接合接墊及所述第二多個接合接墊具有第一節距,所述第三多個接合接墊及所述第四多個接合接墊具有第二節距,且所述第一節距大於所述第二節距。
- 如請求項16所述的方法,其中形成所述第一通孔包括沿著所述第一介電層中的第一開口的側壁形成第一擴散阻擋層,且其中形成所述第二通孔包括沿著所述第二介電層中的第二開口的側壁形成第二擴散阻擋層。
- 如請求項16所述的方法,更包括在所述配電網路層上形成電源組件層。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063044608P | 2020-06-26 | 2020-06-26 | |
US63/044,608 | 2020-06-26 | ||
US17/232,528 | 2021-04-16 | ||
US17/232,528 US11581281B2 (en) | 2020-06-26 | 2021-04-16 | Packaged semiconductor device and method of forming thereof |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202203377A true TW202203377A (zh) | 2022-01-16 |
TWI832062B TWI832062B (zh) | 2024-02-11 |
Family
ID=78125972
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110121584A TWI832062B (zh) | 2020-06-26 | 2021-06-15 | 半導體元件以及其形成方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US11581281B2 (zh) |
KR (1) | KR102501425B1 (zh) |
CN (1) | CN113540059B (zh) |
DE (1) | DE102021111153B4 (zh) |
TW (1) | TWI832062B (zh) |
Families Citing this family (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR3109466B1 (fr) * | 2020-04-16 | 2024-05-17 | St Microelectronics Grenoble 2 | Dispositif de support d’une puce électronique et procédé de fabrication correspondant |
US11715755B2 (en) * | 2020-06-15 | 2023-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for forming integrated high density MIM capacitor |
KR20220008093A (ko) * | 2020-07-13 | 2022-01-20 | 삼성전자주식회사 | 반도체 패키지 및 반도체 패키지의 제조 방법 |
US11444068B2 (en) * | 2020-07-14 | 2022-09-13 | Qualcomm Incorporated | Three-dimensional (3D) integrated circuit device having a backside power delivery network |
KR20220036534A (ko) * | 2020-09-16 | 2022-03-23 | 에스케이하이닉스 주식회사 | 관통 전극을 포함하는 반도체 칩, 및 이 반도체 칩을 포함하는 반도체 패키지 |
US11817392B2 (en) * | 2020-09-28 | 2023-11-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit |
KR20220056668A (ko) * | 2020-10-28 | 2022-05-06 | 삼성전자주식회사 | 집적 회로 반도체 소자 |
US11869622B2 (en) * | 2020-10-29 | 2024-01-09 | Micron Technology, Inc. | Memory with fine grain architectures |
US11521893B2 (en) * | 2020-10-30 | 2022-12-06 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
KR20220059722A (ko) * | 2020-11-03 | 2022-05-10 | 삼성전자주식회사 | Bs-pdn 구조를 가진 집적회로 칩 |
US11581251B2 (en) * | 2020-11-10 | 2023-02-14 | Qualcomm Incorporated | Package comprising inter-substrate gradient interconnect structure |
KR20220070145A (ko) * | 2020-11-20 | 2022-05-30 | 삼성전자주식회사 | 반도체 패키지 |
US11621217B2 (en) * | 2021-01-15 | 2023-04-04 | Advanced Semiconductor Engineering, Inc. | Substrate structure and semiconductor package structure |
US11784172B2 (en) * | 2021-02-12 | 2023-10-10 | Taiwan Semiconductor Manufacturing Hsinchu, Co., Ltd. | Deep partition power delivery with deep trench capacitor |
US11469219B1 (en) * | 2021-04-28 | 2022-10-11 | Nanya Technology Corporation | Dual die semiconductor package and manufacturing method thereof |
US11948625B2 (en) | 2021-09-09 | 2024-04-02 | Winbond Electronics Corporation | Systems on chips, memory circuits, and methods for accessing data in a memory circuit directly using a transistor-level operation signal |
US20230113020A1 (en) * | 2021-10-13 | 2023-04-13 | Nanya Technology Corporation | Semiconductor device with re-fill layer |
US20230230901A1 (en) * | 2022-01-10 | 2023-07-20 | International Business Machines Corporation | TSV and Backside Power Distribution Structure |
US20230238360A1 (en) * | 2022-01-21 | 2023-07-27 | Mediatek Inc. | Semiconductor package assembly and electronic device |
TWI781049B (zh) * | 2022-01-24 | 2022-10-11 | 欣興電子股份有限公司 | 電路板結構及其製作方法 |
EP4227993A1 (de) * | 2022-02-14 | 2023-08-16 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Halbleiterbauelement |
US20230260942A1 (en) * | 2022-02-16 | 2023-08-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bond routing structure for stacked wafers |
US20230275021A1 (en) * | 2022-02-25 | 2023-08-31 | Samsung Electronics Co., Ltd. | Integrated circuit devices including stacked elements and methods of forming the same |
EP4398298A1 (en) * | 2023-01-05 | 2024-07-10 | Samsung Electronics Co., Ltd. | Semiconductor package and method of manufacturing the same |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN100383936C (zh) * | 2002-12-20 | 2008-04-23 | 国际商业机器公司 | 三维器件制造方法 |
US8659165B2 (en) * | 2008-08-12 | 2014-02-25 | Texas Instruments Incorporated | Contact and VIA interconnects using metal around dielectric pillars |
US8797057B2 (en) | 2011-02-11 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Testing of semiconductor chips with microbumps |
US20190006240A1 (en) * | 2011-06-28 | 2019-01-03 | Monolithic 3D Inc. | 3d semiconductor device and system |
WO2013168354A1 (ja) | 2012-05-10 | 2013-11-14 | パナソニック株式会社 | 電源電圧の安定化構造を持つ三次元集積回路、及びその製造方法 |
US9443783B2 (en) | 2012-06-27 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3DIC stacking device and method of manufacture |
US9299649B2 (en) | 2013-02-08 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D packages and methods for forming the same |
US9263186B2 (en) * | 2013-03-05 | 2016-02-16 | Qualcomm Incorporated | DC/ AC dual function Power Delivery Network (PDN) decoupling capacitor |
US8993380B2 (en) | 2013-03-08 | 2015-03-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for 3D IC package |
US9331054B2 (en) * | 2013-03-14 | 2016-05-03 | Mediatek Inc. | Semiconductor package assembly with decoupling capacitor |
US9281254B2 (en) | 2014-02-13 | 2016-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming integrated circuit package |
US9425126B2 (en) | 2014-05-29 | 2016-08-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy structure for chip-on-wafer-on-substrate |
US9496189B2 (en) | 2014-06-13 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked semiconductor devices and methods of forming same |
US9666502B2 (en) | 2015-04-17 | 2017-05-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Discrete polymer in fan-out packages |
US9461018B1 (en) | 2015-04-17 | 2016-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fan-out PoP structure with inconsecutive polymer layer |
US9633917B2 (en) * | 2015-08-20 | 2017-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three dimensional integrated circuit structure and method of manufacturing the same |
US9875988B2 (en) * | 2015-10-29 | 2018-01-23 | Semtech Corporation | Semiconductor device and method of forming DCALGA package using semiconductor die with micro pillars |
US9735131B2 (en) | 2015-11-10 | 2017-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-stack package-on-package structures |
FR3078823B1 (fr) * | 2018-03-12 | 2020-02-21 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Empilement 3d de puces electroniques |
US20190287868A1 (en) * | 2018-03-15 | 2019-09-19 | Intel Corporation | Exposing circuitry for die testing |
US11081426B2 (en) | 2018-07-31 | 2021-08-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D IC power grid |
KR102538181B1 (ko) * | 2018-10-24 | 2023-06-01 | 삼성전자주식회사 | 반도체 패키지 |
US10665581B1 (en) * | 2019-01-23 | 2020-05-26 | Sandisk Technologies Llc | Three-dimensional semiconductor chip containing memory die bonded to both sides of a support die and methods of making the same |
US11393780B2 (en) * | 2019-07-26 | 2022-07-19 | Sandisk Technologies Llc | Bonded assembly containing oxidation barriers, hybrid bonding, or air gap, and methods of forming the same |
US11211371B2 (en) * | 2019-10-18 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit package and method |
DE102020128415A1 (de) * | 2020-05-28 | 2021-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-level-stapelung von wafern und chips |
-
2021
- 2021-04-16 US US17/232,528 patent/US11581281B2/en active Active
- 2021-04-30 DE DE102021111153.6A patent/DE102021111153B4/de active Active
- 2021-06-14 KR KR1020210076952A patent/KR102501425B1/ko active IP Right Grant
- 2021-06-15 TW TW110121584A patent/TWI832062B/zh active
- 2021-06-28 CN CN202110718925.XA patent/CN113540059B/zh active Active
-
2023
- 2023-01-12 US US18/153,847 patent/US20230170320A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
DE102021111153A1 (de) | 2021-12-30 |
US20210407942A1 (en) | 2021-12-30 |
US11581281B2 (en) | 2023-02-14 |
CN113540059A (zh) | 2021-10-22 |
TWI832062B (zh) | 2024-02-11 |
US20230170320A1 (en) | 2023-06-01 |
KR20220000818A (ko) | 2022-01-04 |
KR102501425B1 (ko) | 2023-02-21 |
CN113540059B (zh) | 2023-07-21 |
DE102021111153B4 (de) | 2024-05-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI832062B (zh) | 半導體元件以及其形成方法 | |
TWI642157B (zh) | 半導體封裝件及其形成方法 | |
CN112687670B (zh) | 集成电路结构及其形成方法 | |
US11705343B2 (en) | Integrated circuit package and method of forming thereof | |
US11658069B2 (en) | Method for manufacturing a semiconductor device having an interconnect structure over a substrate | |
TWI783449B (zh) | 半導體封裝及其形成方法 | |
US11735576B2 (en) | Integrated circuit package and method | |
US20240021583A1 (en) | Package and method of fabricating the same | |
US20220375793A1 (en) | Semiconductor Device and Method | |
TWI727423B (zh) | 積體電路封裝及其形成方法 | |
US20220310470A1 (en) | Integrated Circuit Package and Method | |
TW202240804A (zh) | 半導體晶粒的封裝結構及其形成方法 | |
US20240021554A1 (en) | Integrated circuit package and method of forming thereof | |
TW202141709A (zh) | 半導體封裝體及其製造方法 | |
TWI719670B (zh) | 積體電路封裝體及其製造方法 | |
TWI838073B (zh) | 積體電路封裝及其形成方法 | |
TW202341379A (zh) | 包括混合接合類型的半導體封裝及其形成方法 | |
KR20230123405A (ko) | 반도체 디바이스 및 방법 | |
TWI735353B (zh) | 積體電路封裝及其製作方法 | |
US20240234400A1 (en) | Integrated circuit packages and methods of forming the same | |
TW202407951A (zh) | 積體電路封裝及其製造方法 | |
TW202407904A (zh) | 積體電路封裝及其形成方法 | |
TW202407821A (zh) | 積體電路封裝及其形成方法 | |
TW202416396A (zh) | 積體電路封裝及其形成方法 |