TW202145453A - 鐵電記憶體元件及其形成方法 - Google Patents

鐵電記憶體元件及其形成方法 Download PDF

Info

Publication number
TW202145453A
TW202145453A TW110110728A TW110110728A TW202145453A TW 202145453 A TW202145453 A TW 202145453A TW 110110728 A TW110110728 A TW 110110728A TW 110110728 A TW110110728 A TW 110110728A TW 202145453 A TW202145453 A TW 202145453A
Authority
TW
Taiwan
Prior art keywords
ferroelectric
layer
portions
conductive
dielectric
Prior art date
Application number
TW110110728A
Other languages
English (en)
Other versions
TWI769757B (zh
Inventor
呂俊頡
漢中 賈
世海 楊
楊柏峰
林佑明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/098,919 external-priority patent/US11910617B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145453A publication Critical patent/TW202145453A/zh
Application granted granted Critical
Publication of TWI769757B publication Critical patent/TWI769757B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/221Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using ferroelectric capacitors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/225Auxiliary circuits
    • G11C11/2275Writing or programming circuits or methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

本發明實施例提供一種鐵電記憶體元件,鐵電記憶體元件具有安置於基底上方且包含交替堆疊的多個導電層及多個介電層的多層堆疊。通道層穿透多個導電層及多個介電層。多個鐵電部分離散地安置於通道層與多個導電層之間。多個鐵電部分彼此垂直地分隔開一或多個非零距離。

Description

鐵電記憶體元件及其形成方法
半導體元件用於多種電子應用中,諸如個人電腦、蜂巢式電話、數位攝影機以及其他電子設備。通常藉由在半導體基底上方依序沈積絕緣層或介電層、導電層以及半導體層,且使用微影術及蝕刻技術來圖案化各種材料層以在其上形成電路組件及部件來製造半導體元件。
半導體行業繼續藉由連續減小最小特徵大小來改良各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的整合密度,從而允許更多組件整合至給定區域中。然而,隨著最小特徵大小減小,出現了應解決的額外問題。
以下揭露內容提供用於實施本揭露的不同特徵的許多不同實施例或實例。下文描述組件及配置的具體實例以簡化本揭露內容。當然,此等組件及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或第二特徵上的形成可包含第一特徵與第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複參考標號及/或字母。此重複是出於簡單及清楚的目的,且本身並不指示所論述的各種實施例及/或組態之間的關係。
另外,為易於描述,本文中可使用諸如「在...之下」、「在...下方」、「下部」、「在...上方」、「上部」以及類似術語的空間相對術語來描述如諸圖中所示出的一個部件或特徵相對於另一部件或特徵的關係。除諸圖中所描繪的定向之外,空間相對術語意欲涵蓋元件在使用或操作中的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向)且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
各種實施例提供諸如3D記憶陣列的記憶體元件。在一些實施例中,3D記憶陣列為包含多個垂直堆疊的記憶胞的鐵電場效電晶體(ferroelectric field effect transistor;FeFET)記憶體電路。在一些實施例中,每一記憶胞被視為:FeFET,包含充當閘電極的字元線區、充當第一源極/汲極電極的位元線區以及充當第二源極/汲極電極的源極線區;做為閘極介電的鐵電材料;以及做為通道區的氧化物半導體(oxide semiconductor;OS)。在一些實施例中,每一記憶胞被視為薄膜電晶體(thin film transistor;TFT)。
圖1A、圖1B以及圖1C示出根據一些實施例的記憶陣列200的實例。圖1A以局部三維視圖示出簡化的記憶陣列200的一部分的實例;圖1B示出記憶陣列200的電路圖;且圖1C示出根據一些實施例的記憶陣列200的俯視圖。記憶陣列200包含可配置於列及行的網格中的多個記憶胞202。記憶胞202可進一步垂直堆疊以提供三維記憶陣列,由此增加元件密度。記憶陣列200可安置於半導體晶粒的後段製程(back end of line;BEOL)中。舉例而言,記憶陣列可安置於半導體晶粒的內連線層中,諸如,安置於在半導體基底上形成的一或多個主動元件(例如電晶體)上方。
在一些實施例中,記憶陣列200為快閃記憶陣列,諸如NOR快閃記憶陣列或類似者。在一些實施例中,每一記憶胞202的閘極電耦接至相應字元線(例如導線72),每一記憶胞202的第一源極/汲極區電耦接至相應位元線(例如導線116B),且每一記憶胞202的第二源極/汲極區電耦接至相應源極線(例如導線116A),所述相應源極線將第二源極/汲極區電耦接至接地。在記憶陣列200的同一水平列中的記憶胞202可共用共同字元線,而在記憶陣列200的同一垂直行中的記憶胞202可共用共同源極線及共同位元線。
記憶陣列200包含多個垂直堆疊的導線72(例如字元線),其中介電層52安置於導線72中的相鄰者之間。導線72在與下伏基底(在圖1A及圖1B中未明確示出)的主表面平行的方向上延伸。導線72可具有階梯組態,以使得下部導線72比上部導線72的末端長且橫向延伸穿過上部導線72的末端。舉例而言,在圖1A中,示出導線72的多個堆疊層,其中最頂部導線72是最短的,而最底部導線72是最長的。導線72的相應長度可在朝向下伏基底的方向上增加。以此方式,可自記憶陣列200上方存取導線72中的每一者的一部分,且可使導電接觸件分別接觸導線72的暴露部分。
記憶陣列200更包含交替配置的導電柱106(例如,電連接至位元線)及導電柱108(例如,電連接至源極線)。導電柱106及導電柱108可各自在垂直於導線72的方向上延伸。介電材料98安置於導電柱106與導電柱108中之間且隔離相鄰的導電柱106及導電柱108。
成對的導電柱106及導電柱108連同相交的導線72一起界定每一記憶胞202的邊界,且隔離柱102安置於相鄰成對的導電柱106與導電柱108之間且隔離相鄰成對的導電柱106及導電柱108。在一些實施例中,導電柱108電耦接至接地。儘管圖1A示出導電柱106相對導電柱108的特定配置,但應瞭解,在其他實施例中,可交換導電柱106及導電柱108的配置。
在一些實施例中,記憶陣列200亦可包含做為通道層92的氧化物半導體(OS)材料。通道層92可為記憶胞202提供通道區。舉例而言,當經由對應導線72施加適當電壓(例如,高於對應記憶胞202的相應臨限電壓(Vth ))時,與導線72相交的通道層92的區可允許電流(例如,在由箭頭206所指示的方向上)自導電柱106流至導電柱108。
在一些實施例中,記憶陣列200亦可包含離散地安置於導線72的側壁表面上的鐵電部分90。舉例而言,鐵電部分90可包括安置於第一導線的側壁表面上的第一鐵電部分及安置於第二導線的側壁表面上且與第一鐵電部分分隔開的第二鐵電部分。由於導線72組態以充當閘電極,故鐵電部分90可用作記憶胞202的閘極介電質。在一些實施例中,鐵電部分90包含鐵電材料,諸如氧化鉿、氧化鉿鋯、矽摻雜氧化鉿或類似者。在一些實施例中,鐵電部分90中的相應者可在所述部分的高度上方具有實質上恆定的寬度。在一些額外施例中,鐵電部分中的相應者可包括具有結晶結構的實質上均一的百分比的混合結晶-非晶態(例如,結晶態與非晶態的實質上恆定的比率)。在一些額外實施例中,鐵電部分中的相應者可具有超過70莫耳%、超過80莫耳%(例如,在約80莫耳%與約99莫耳%之間)斜方晶相的結晶結構。具有大於70莫耳%的斜方晶相改良鐵電部分90的鐵電性,因而提升相應記憶體元件的效能(例如,讀取窗口)。
在一些實施例中,相鄰的鐵電部分90可藉由通道層92彼此分隔開。在一些此類實施例中,通道層92可自第一鐵電部分的側壁表面連續延伸至第二鐵電部分的側壁表面。在一些實施例中,通道層92襯於鐵電部分90的側壁表面及水平延伸的表面,以便將通道層92界定為具有不平坦的且波狀的側壁輪廓。在一些實施例中,通道層92具有側壁輪廓,其在相鄰的鐵電部分90之間的通道層92的側面內界定出凹槽。在一些實施例中,介電材料98可延伸至凹槽內。在一些實施例中,通道層可接觸安置於相鄰導線之間的介電層52。
鐵電部分90可分別在兩個不同方向中的一者上極化,且可藉由在鐵電部分90兩端施加適當的電壓差且產生適當的電場來改變極化方向。極化可相對區域化(例如,通常包含於記憶胞202的每一邊界內)。依據鐵電部分90的特定區的極化方向,對應記憶胞202的臨限電壓改變,且可儲存數位值(例如,0或1)。舉例而言,當鐵電部分90的區具有第一電極化方向時,對應記憶胞202可具有相對低的臨限電壓,且當鐵電部分90的區具有第二電極化方向時,對應記憶胞202可具有相對高的臨限電壓。兩個臨限電壓之間的差可稱為臨限電壓偏移。較大臨限電壓偏移使讀取儲存於對應記憶胞202中的數位值更容易(例如,更不易出錯)。
為了在此類實施例中對記憶胞202執行寫入操作,在鐵電部分90的對應於記憶胞202的部分兩端施加寫入電壓。在一些實施例中,舉例而言,藉由將適當電壓施加至對應導線72(例如字元線)及對應導電柱106/導電柱108(例如,位元線/源極線)來施加寫入電壓。在此類實施例中,導線72組態以充當閘電極層,且導電柱106/導電柱108組態以充當源極/汲極區。藉由在鐵電部分90的部分兩端施加寫入電壓,可改變鐵電部分90的區的極化方向。因此,對應記憶胞202的對應臨限電壓亦可自低臨限電壓切換至高臨限電壓,或反之亦然,且數位值可儲存於記憶胞202中。由於導線72與導電柱106及導電柱108相交,故可選擇個別記憶胞202以用於寫入操作。
為了在此類實施例中對記憶胞202執行讀取操作,讀取電壓(低臨限電壓與高臨限電壓之間的電壓)施加至對應導線72(例如字元線)。依據對應鐵電部分90的極化方向,可打開或不可打開記憶胞202。因此,導電柱106可經由或不經由導電柱108(例如,耦接至接地的源極線)放電,且可判定儲存於記憶胞202中的數位值。由於導線72與導電柱106及導電柱108相交,故可選擇個別記憶胞202以用於讀取操作。
圖1A進一步示出在稍後的諸圖中使用的記憶陣列200的參考橫截面。橫截面B-B'沿著導線72的縱向軸線,且在例如與記憶胞202的電流流動方向平行的方向上。橫截面C-C'垂直於橫截面B-B',且延伸穿過介電材料98及隔離柱102。橫截面D-D'垂直於橫截面B-B',且延伸穿過介電材料98及導電柱106。橫截面E-E'垂直於橫截面B-B',且延伸穿過介電材料98及導電柱106。橫截面F-F'與橫截面B-B'平行,且延伸穿過介電材料98、導電柱106、隔離柱102以及導電柱108。出於清楚起見,後續諸圖參考此等參考橫截面。
在圖2中,提供基底50。基底50可為半導體基底,諸如塊狀半導體、絕緣層上半導體(semiconductor-on-insulator;SOI)基底或類似者,所述半導體基底可為(例如,用p型摻雜劑或n型摻雜劑)摻雜的或未摻雜的。基底50可為積體電路晶粒,諸如邏輯晶粒、記憶體晶粒、ASIC晶粒或類似者。基底50可為互補金屬氧化物半導體(complementary metal oxide semiconductor;CMOS)晶粒且可稱為陣列下CMOS(CMOS under array;CUA)。基底50可為晶圓,諸如矽晶圓。一般而言,SOI基底為形成於絕緣層上的半導體材料層。絕緣層可為例如內埋氧化物(buried oxide;BOX)層、氧化矽層或類似者。絕緣層設置於基底(通常為矽基底或玻璃基底)上。亦可使用其他基底,諸如多層基底或梯度基底。在一些實施例中,基底50的半導體材料可包含:矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或磷砷化鎵銦;或其組合。
圖2進一步示出可形成於基底50上方的電路。電路包含在基底50的頂面處的電晶體。電晶體可包含在基底50的頂面上方的閘介電層302及在閘介電層302上方的閘電極304。源極/汲極區306安置於基底50中,安置於閘介電層302及閘電極304的相對側上。閘極間隔件308沿著閘介電層302的側壁形成且以適當的橫向距離將源極/汲極區306與閘電極304分隔開。電晶體可包含鰭式場效電晶體(fin field effect transistor;FinFET)、奈米結構(例如,奈米片、奈米線、環繞式閘極或類似者)FET(nanostructure FET;nano-FET)、平面FET、類似者或其組合,且可藉由先閘極製程或後閘極製程形成。
第一層間介電質(inter-layer dielectric;ILD)310包圍且隔離源極/汲極區306、閘介電層302以及閘電極304。第二ILD 312在第一ILD 310上方。源極/汲極接觸件314延伸穿過第二ILD 312及第一ILD 310且電耦接至源極/汲極區306。閘極接觸件316延伸穿過第二ILD 312且電耦接至閘電極304。內連線結構320在第二ILD 312、源極/汲極接觸件314以及閘極接觸件316上方。舉例而言,內連線結構320包含一或多個堆疊的介電層324及形成於一或多個介電層324中的導電特徵322。內連線結構320可電連接至閘極接觸件316及源極/汲極接觸件314以形成功能電路。在一些實施例中,由內連線結構320所形成的功能電路可包含邏輯電路、記憶體電路、感測放大器、控制器、輸入/輸出電路、影像感測器電路、類似者或其組合。儘管圖2論述形成於基底50上方的電晶體,但其他主動元件(例如,二極體或類似者)及/或被動元件(例如,電容器、電阻器或類似者)亦可形成為功能電路的部分。
在圖3中,於圖2的結構上方形成多層堆疊58。出於簡單及清楚的目的,可自後續圖式中省略基底50、電晶體、ILD 310及ILD 312以及內連線結構320。儘管多層堆疊58示出為接觸內連線結構320的介電層324,但可在基底50與多層堆疊58之間安置任何數目的中間層。舉例而言,在絕緣層(例如,低k介電層)中包含導電特徵的一或多個內連線層可安置於基底50與多層堆疊58之間。在一些實施例中,可圖案化導電特徵來為基底50及/或記憶陣列200上的主動元件提供電源、接地以及/或訊號線(參見圖1A及圖1B)。在一些實施例中,在絕緣層(例如,低k介電層)中包含導電特徵的一或多個內連線層可安置於多層堆疊58上方。
在圖3中,多層堆疊58包含犧牲層53A至犧牲層53D(統稱為犧牲層53)及介電層52A至介電層52E(統稱為介電層52)的交替層。可在後續步驟中圖案化且替換犧牲層53以界定導線72(例如字元線)。犧牲層53可包含介電材料,諸如氧化矽、氮化矽、氮氧化矽、其組合或類似者。介電層52可包含絕緣材料,諸如氧化鋁、氧化矽、氮化矽、氮氧化矽、其組合或類似者。犧牲層53及介電層52包含具有不同蝕刻選擇性的不同材料。在一些實施例中,犧牲層53包含氮化矽,且介電層52包含氧化鋁或氧化矽。可使用例如化學氣相沈積(chemical vapor deposition;CVD)、原子層沈積(atomic layer deposition;ALD)、物理氣相沈積(physical vapor deposition;PVD)、電漿增強CVD(plasma enhanced CVD;PECVD)或類似者來形成犧牲層53及介電層52中的每一者。
儘管圖3示出特定數目的犧牲層53及介電層52,但其他實施例可包含不同數目的犧牲層53及介電層52。此外,儘管將多層堆疊58示出為具有介電層做為最頂部層及最底部層,但本揭露內容不限於此。在一些實施例中,多層堆疊58的最頂部層及最底部層中的至少一者為犧牲層。
圖4至圖12為根據一些實施例的在製造記憶陣列200的階梯結構中的中間階段的視圖。沿著圖1A中所示出的參考橫截面B-B'示出圖4至圖12。
在圖4中,於多層堆疊58上方形成光阻56。在一些實施例中,光阻56藉由旋塗技術形成且藉由可接受的微影技術圖案化。圖案化光阻56可在區60中暴露多層堆疊58,同時遮蔽多層堆疊58的剩餘部分。舉例而言,多層堆疊58的最頂部層(例如介電層52E)可暴露於區60中。
在圖5中,使用光阻56做為罩幕來蝕刻區60中的多層堆疊58的暴露部分。蝕刻可為任何可接受的蝕刻製程,諸如乾式蝕刻(例如,反應離子蝕刻(reactive ion etch;RIE)、中性束蝕刻(neutral beam etch;NBE)或類似者)、濕式蝕刻、類似者或其組合。蝕刻可為非等向性的。蝕刻可移除區60中的介電層52E及犧牲層53D的部分且界定開口61。由於介電層52E及犧牲層53D具有不同的材料組成物,故用於移除此等層的暴露部分的蝕刻劑可為不同的。在一些實施例中,在蝕刻介電層52E時,犧牲層53D充當蝕刻停止層,且在蝕刻犧牲層53D時,介電層52D充當蝕刻停止層。因此,可在不移除多層堆疊58的剩餘層的情況下選擇性地移除介電層52E及犧牲層53D的部分,且開口61可延伸至所要深度。替代地,時間模式蝕刻製程可用於在開口61達到所要深度之後停止開口61的蝕刻。在所得結構中,介電層52D暴露於區60中。
在圖6中,修整光阻56以暴露多層堆疊58的額外部分。在一些實施例中,藉由使用可接受的移除技術(諸如側向蝕刻)來修整光阻56。由於修整,故光阻56的寬度減小且可暴露區60及區62中的多層堆疊58的部分。舉例而言,介電層52D的頂面可暴露於區60中,且介電層52E的頂面可暴露於區62中。
在圖7中,藉由使用光阻56做為罩幕的可接受的蝕刻製程來移除區60及區62中的介電層52E、犧牲層53D、介電層52D以及犧牲層53C的部分。蝕刻可為任何可接受的蝕刻製程,諸如乾式蝕刻(例如RIE、NBE或類似者)、濕式蝕刻、類似者或其組合。蝕刻可為非等向性的。蝕刻可將開口61進一步延伸至多層堆疊58中。由於犧牲層53D及犧牲層53C以及介電層52E及介電層52D具有不同的材料組成物,故用於移除此等層的暴露部分的蝕刻劑可為不同的。在一些實施例中,藉由使用光阻56做為罩幕且使用下伏犧牲層53D及犧牲層53C做為蝕刻停止層來移除區62及區60中的介電層52E及介電層52D的部分。在其之後,藉由使用光阻56做為罩幕且使用下伏介電層52D及介電層52C做為蝕刻停止層來移除區62及區60中的犧牲層53D及犧牲層53C的暴露部分。在所得結構中,介電層52C暴露於區60中,且介電層52D暴露於區62中。
在圖8中,修整光阻56以暴露多層堆疊58的額外部分。在一些實施例中,藉由使用可接受的移除技術(諸如側向蝕刻)來修整光阻56。由於修整,光阻56的寬度減小且可暴露區60、區62以及區64中的多層堆疊58的部分。舉例而言,介電層52C的頂面可暴露於區60中;介電層52D的頂面可暴露於區62中;且介電層52E的頂面可暴露於區64中。
在圖9中,藉由使用光阻56做為罩幕的可接受的蝕刻製程來移除區60、區62以及區64中的介電層52E、介電層52D以及介電層52C以及犧牲層53D、犧牲層53C以及犧牲層53B的部分。蝕刻可為任何可接受的蝕刻製程,諸如乾式蝕刻(例如RIE、NBE或類似者)、濕式蝕刻、類似者或其組合。蝕刻可為非等向性的。蝕刻可將開口61進一步延伸至多層堆疊58中。由於介電層52C至介電層52E及犧牲層53B至犧牲層53D具有不同的材料組成物,故用於移除此等層的暴露部分的蝕刻劑可為不同的。在一些實施例中,藉由使用光阻56做為罩幕且使用下伏犧牲層53D、犧牲層53C以及犧牲層53B做為蝕刻停止層來移除區64、區62以及區60中的介電層52E、介電層52D以及介電層52C的部分。在其之後,藉由使用光阻56做為罩幕且使用下伏介電層52D、介電層52C及介電層52B做為蝕刻停止層來移除區64、區62以及區60中的犧牲層53D、犧牲層53C以及犧牲層53B的暴露部分。在所得結構中,介電層52B暴露於區60中;介電層52C暴露於區62中;且介電層52D暴露於區64中。
在圖10中,修整光阻56以暴露多層堆疊58的額外部分。在一些實施例中,藉由使用可接受的移除技術(諸如側向蝕刻)來修整光阻56。由於修整,故光阻56的寬度減小且可暴露區60、區62、區64以及區66中的多層堆疊58的部分。舉例而言,介電層52B的頂面可暴露於區60中;介電層52C的頂面可暴露於區62中;且介電層52D的頂面可暴露於區64中;且介電層52E的頂面可暴露於區66中。
在圖11中,藉由使用光阻56做為罩幕的可接受的蝕刻製程來移除區60、區62、區64以及區66中的介電層52E、介電層52D、介電層52C以及介電層52B的部分。蝕刻可為任何可接受的蝕刻製程,諸如乾式蝕刻(例如RIE、NBE或類似者)、濕式蝕刻、類似者或其組合。蝕刻可為非等向性的。蝕刻可將開口61進一步延伸至多層堆疊58中。在一些實施例中,藉由使用光阻56做為罩幕且使用下伏犧牲層53D、犧牲層53C、犧牲層53B以及犧牲層53A做為蝕刻停止層來移除區66、區64、區62以及區60中的介電層52E、介電層52D、介電層52C以及介電層52B的部分。在所得結構中,犧牲層53A暴露於區60中;犧牲層53B暴露於區62中;犧牲層53C暴露於區64中;且犧牲層53D暴露於區66中。在其之後,可藉由可接受的灰化或濕式剝離來製程移除光阻56。
在圖12中,金屬間介電質(inter-metal dielectric;IMD)70形成於多層堆疊58上方。IMD 70可由介電材料形成,且可藉由任何合適的方法沈積,所述方法諸如CVD、PECVD、可流動CVD(flowable CVD;FCVD)或類似者。介電材料可包含磷矽酸鹽玻璃(phospho-silicate glass;PSG)、硼矽酸鹽玻璃(boro-silicate glass;BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phospho-silicate glass;BPSG)、未摻雜矽酸鹽玻璃(undoped silicate glass;USG)或類似者。在一些實施例中,IMD 70可包含氧化物(例如,氧化矽或類似者)、氮化物(例如,氮化矽或類似者)、其組合或類似者。可使用由任何可接受的製程所形成的其他介電材料。在其之後,執行移除製程以移除多層堆疊58上方的多餘介電材料。在一些實施例中,移除製程可為平坦化製程,諸如化學機械研磨(chemical mechanical polish;CMP)、回蝕製程、其組合或類似者。平坦化製程暴露多層堆疊58,以使得在平坦化製程完成之後,多層堆疊58及IMD 70的頂面齊平。IMD 70沿著犧牲層53B至犧牲層53D的側壁及介電層52B至介電層52E的側壁延伸。另外,IMD 70可接觸犧牲層53A至犧牲層53D及介電層52E的頂面。
如圖12中所繪示,中間及塊狀階梯結構因此形成。中間階梯結構包含犧牲層53及介電層52的交替層。隨後用導線72替換犧牲層53,此將在圖16A及圖16B中詳細描述。下部導線72較長且橫向延伸穿過上部導線72,且導線72中的每一者的寬度在朝向基底50的方向上增加(參見圖1A及圖30E)。
圖13至圖16B為根據一些實施例在製造記憶陣列200的記憶體區中的中間階段的視圖。在圖13至圖16B中,圖案化塊狀多層堆疊58以形成穿過其中的溝渠86,且用導電材料替換犧牲層53以界定導線72。導線72可對應於記憶陣列200中的字元線,且導線72可進一步為記憶陣列200的所得記憶胞提供閘電極。沿著圖1A中所示出的參考橫截面C-C'示出圖13、圖14、圖15B以及圖16B。圖15A及圖16A以局部三維視圖示出。
在圖13中,於多層堆疊58上方形成光阻圖案82及下伏硬罩幕圖案80。在一些實施例中,硬罩幕層及光阻層依序形成於多層堆疊58上方。硬罩幕層可包含例如可藉由CVD、PVD、ALD、PECVD或類似者沈積的氮化矽、氮氧化矽或類似者。舉例而言,藉由旋塗技術形成光阻層。
在其之後,圖案化光阻層以形成光阻圖案82及光阻圖案82之間的溝渠86。舉例而言,藉由可接受的微影技術圖案化光阻。光阻圖案82的圖案接著藉由使用可接受的蝕刻製程(諸如藉由乾式蝕刻(例如,RIE、NBE或類似者)、濕式蝕刻、類似者或其組合)來轉移至硬罩幕層以形成硬罩幕圖案80。蝕刻可為非等向性的。因此,形成延伸穿過硬罩幕層的溝渠86。在其之後,可視情況藉由例如灰化製程來移除光阻圖案82。
在圖14、圖15A以及圖15B中,硬罩幕圖案80的圖案使用一或多個可接受的蝕刻製程(諸如藉由乾式蝕刻(例如,RIE、NBE或類似者)、濕式蝕刻、類似者或其組合)來轉移至多層堆疊58。蝕刻製程可為非等向性的。因此,溝渠86延伸穿過塊狀多層堆疊58,且因此界定條形犧牲層53及條形介電層52。在一些實施例中,溝渠86延伸穿過塊狀階梯結構,且因此界定條形階梯結構。硬罩幕圖案80接著可藉由諸如濕式蝕刻製程、乾式蝕刻製程、平坦化製程、其組合或類似者的可接受製程來移除。
在圖15A、圖15B、圖16A以及圖16B中,用導線72A至導線72D(統稱為導線72)替換犧牲層53A至犧牲層53D(統稱為犧牲層53)。在一些實施例中,犧牲層53藉由諸如濕式蝕刻製程、乾式蝕刻製程或其兩者的可接受製程來移除。在其之後,導線72填充至兩個相鄰介電層52之間的空間中。如局部放大視圖中所繪示,每一導線72包含兩個阻障層71及阻障層75以及阻障層71與阻障層75之間的金屬層73。具體而言,阻障層71或阻障層75安置於金屬層73與相鄰的介電層52之間。阻障層71及阻障層75可防止金屬層擴散至相鄰的介電層52。阻障層71及阻障層75亦可提供增加金屬層73與相鄰介電層52之間的黏著力的功能,且在一些實例中可稱為膠層。在一些實施例中,視需要提供具有不同材料的阻障層及膠層兩者。阻障層71及阻障層75由諸如金屬氮化物(諸如氮化鈦、氮化鉭、氮化鉬、氮化鋯、氮化鉿或類似者)的第一導電材料形成。金屬層73可由諸如金屬(諸如鎢、釕、鉬、鈷、鋁、鎳、銅、銀、金、其合金或類似者)的第二導電材料形成。阻障層71、阻障層75以及金屬層73可各自藉由諸如CVD、PVD、ALD、PECVD或類似者的可接受的沈積製程來形成。阻障層71及阻障層75的第一導電材料及金屬層73的第二導電材料進一步沈積於多層堆疊58的側壁上且填充溝渠86。在其之後,阻障層71及阻障層75的第一導電材料及金屬層73的第二導電材料在溝渠86中藉由回蝕製程來移除。可執行可接受的回蝕製程以自介電層52的側壁及溝渠86的底部表面移除多餘材料。可接受的回蝕製程包含乾式蝕刻(例如,RIE、NBE、其類似者)、濕式蝕刻、類似者或其組合。可接受的回蝕製程可為非等向性的。
在一些實施例中,在替換製程後,隨後用導線72替換條形階梯結構的犧牲層53(參見圖1A)。
圖17A至圖19B示出在溝渠86中選擇性地形成鐵電部分90。圖17A、圖18A以及圖19A以局部三維視圖示出。在圖17B、圖18B以及圖19B中,提供沿圖1A的線C-C'的橫截面視圖。
在圖17A及圖17B中,對導線72之間的區89執行表面處理87,以選擇性地修改介電層52的頂面來增加區89與導線72之間的表面能的差。在一些實施例中,執行表面處理87以提供具有高可濕性及低表面能的疏水性或超疏水性的區89的表面。舉例而言,表面處理87可藉由如下所描述的方法執行。
抑制部分88選擇性地形成於區89內的介電層52的表面上。抑制部分88可稱為阻擋層,所述阻擋層阻擋介電層52的表面,以防止隨後形成的鐵電部分90沈積於區89內的介電層52的表面上。在介電層52由氧化物形成的實施例中,抑制部分88由有機材料形成,所述有機材料可與介電層52的氧化物表面反應或吸附於介電層52的氧化物表面上。舉例而言,有機材料可為用於介電層52的表面改性的自組裝單層(self-assembled monolayer;SAM)。SAM可為在介電層52的暴露的氧化物表面上組織成有序域的分子組裝。SAM的每一分子可包含頭部基團及尾部,其中頭部基團將分子錨定至介電層52的氧化物表面,且尾部防止鐵電部分90沈積於介電層52的氧化物表面上。
在一些實施例中,抑制部分88由含有頭部基團的分子形成。頭部基團是錨定至介電層52的氧化物表面的親金屬頭部基團。在實施例中,分子的親金屬頭部基團包括磷原子(P)、硫原子(S)或類似者。
根據本揭露內容的實施例,形成抑制部分88的分子的尾部是疏金屬烷基尾部。疏金屬烷基尾部具有例如具有較大分子大小的烷基鏈或長碳鏈以防止鐵電部分90沈積於其表面上。在一些實施例中,分子的尾部由至少12個主鏈原子(諸如12個碳)形成。在實施例中,分子的尾部由約18個主鏈原子形成。SAM的大分子結構可防止鐵電部分90沈積於其表面上。
舉例而言,抑制部分88可由選自但不限於以下的分子形成:烷烴硫醇,諸如1-十八烷硫醇(octadecanethiol;ODT)或烷烴磷酸,諸如十八烷基磷酸(octadecylphophonic acid;ODPA)。在實施例中,抑制部分88由附著至由氧化物形成的介電層52的ODT或ODPA形成。抑制部分88可具有約0.1奈米至2奈米的厚度T1。
抑制部分88可藉由溶液相製程或氣相磊晶來沈積。舉例而言,可在適當的處理環境(諸如酸濃度、溶液溫度以及鈍化時間的平衡)下藉由溶液相製程來沈積抑制部分88。在實施例中,平衡的處理環境包含具有1毫莫耳每升至20毫莫耳每升之間的濃度的ODPA或ODT、室溫至150℃之間的溶液溫度及/或0.5小時至2小時之間的鈍化時間。
在圖18A及圖18B中,於導線72上方的溝渠86中形成鐵電部分90。鐵電部分90可包括分別離散地安置於導線72A、導線72B、導線72C以及導線72D的側壁表面上的鐵電部分90A、鐵電部分90B、鐵電部分90C以及鐵電部分90D。在一些實施例中,鐵電部分90未沈積至抑制部分88上,使得導線72之間的區89不含鐵電部分。在一些額外實施例中,鐵電部分未沈積於溝渠86的底部處的IMD 70上。已瞭解,鐵電部分90的鐵電材料可在不同材料上(例如,在導線72及介電層52上)形成為不同厚度、不同結晶結構及/或不同相。鐵電材料中的此類差異可導致不同記憶體元件的操作上的差異。藉由利用抑制部分88來防止鐵電材料在介電層52上形成,分立的鐵電部分90可形成為具有貫穿鐵電部分的均一的(亦即,恆定的)厚度、結晶結構及/或相,由此提升記憶體效能。
鐵電部分90可包含能夠藉由在鐵電部分90兩端施加適當的電壓差而在兩個不同極化方向之間切換的材料。舉例而言,鐵電部分90包含高k介電材料,諸如鉿(Hf)類介電材料或類似者。在一些實施例中,鐵電部分90包含氧化鉿、氧化鉿鋯、矽摻雜氧化鉿或類似者。
在一些實施例中,鐵電部分90可包含氧化鋇鈦(BaTiO3 )、氧化鉛鈦(PbTiO3 )、氧化鉛鋯(PbZrO3 )、氧化鋰鈮(LiNbO3 )、氧化鈉鈮(NaNbO3 )、氧化鉀鈮(KNbO3 )、氧化鉀鉭(KTaO3 )、氧化鉍鈧(BiScO3 )、氧化鉍鐵(BiFeO3 )、氧化鉿鉺(Hf1-x Erx O)、氧化鉿鑭(Hf1-x Lax O)、氧化鉿釔(Hf1-x Yx O)、氧化鉿釓(Hf1-x Gdx O)、氧化鉿鋁(Hf1-x Alx O)、氧化鉿鋯(Hf1-x Zrx O,HZO)、氧化鉿鈦(Hf1-x Tix O)、氧化鉿鉭(Hf1-x Tax O)、或其組合或類似者。在一些實施例中,鐵電部分90可包含不同的鐵電材料或不同類型的記憶體材料。在一些實施例中,形成鐵電部分90的方法包含執行合適的沈積技術,諸如CVD、PECVD、金屬氧化物化學氣相沈積(metal oxide chemical vapor deposition;MOCVD)、ALD、RPALD、PEALD、MBD或類似者。
在抑制部分88由諸如SAM的有機材料形成的一些實施例中,為了防止抑制部分88因為熱分解而斷裂,鐵電部分90藉由低溫沈積製程來沈積。在SAM分解溫度在室溫至200℃之間的實施例中,且鐵電部分90在低於室溫至200℃的溫度下沈積以在不顯著地損壞抑制部分88的情況下降低SAM分解的速率。由此,在沈積鐵電部分90的期間,抑制部分88可維持阻擋能力。
鐵電部分90具有等於或大於抑制部分88的厚度T1的厚度T2。在一些實施例中,鐵電部分90具有約1奈米至20奈米(諸如5奈米至10奈米)的厚度T2。其他厚度範圍(例如,超過20奈米或5奈米至15奈米)可為可適用的。在一些實施例中,鐵電部分90以完全非晶態形成。在替代實施例中,鐵電部分90以部分結晶態形成;亦即,鐵電部分90以混合結晶-非晶態形成且具有一定程度的結構順序。在又替代實施例中,鐵電部分90以完全結晶態形成。在一些實施例中,鐵電部分90為單層。在替代實施例中,鐵電部分90為多層結構。
對鐵電部分90及抑制部分88執行回火製程。回火製程的溫度範圍在約100℃至約400℃的範圍內,使得鐵電部分90可實現所要晶格結構,且抑制部分88可分解。在一些實施例中,在回火製程後,鐵電部分90自非晶態轉變為部分結晶態或完全結晶態。在替代實施例中,在回火後,鐵電部分90自部分結晶態轉變為完全結晶態。以此方式,鐵電部分90可具有斜方晶相。在一些實施例中,鐵電部分90中的斜方晶相大於70莫耳%(亦即,70%)。在一些實施例中,鐵電部分90的斜方晶相大於80莫耳%。舉例而言,鐵電部分90中的斜方晶相在80莫耳%與99莫耳%之間。在抑制部分88分解之後,區89中的介電層52的側壁表面暴露,且兩個相鄰的鐵電部分90及其間的介電層52形成橫向凹槽G。
圖20A至圖20F示出在鐵電部分90及介電層52上方選擇性地形成通道層92。圖20A以局部三維視圖示出。在圖20B中,提供沿圖1A的線C-C'的橫截面視圖。圖20C、圖20D、圖20E以及圖20F示出圖20B的區A中的局部放大視圖。
在圖20A及圖20B中,於溝渠86及橫向凹槽G中沈積通道層92。通道層92包含適於為記憶胞202提供通道區的材料(參見圖1A)。舉例而言,通道層92包含氧化物半導體(OS),諸如氧化鋅(ZnO)、氧化銦鎢(InWO)、氧化銦鎵鋅(InGaZnO,IGZO)、氧化銦鋅(InZnO)、氧化銦錫(ITO)、其組合或類似者。在一些實施例中,通道層92包含多晶矽(polycrystalline silicon;poly-Si)、非晶矽(amorphous silicon;a-Si)或類似者。通道層92可藉由CVD、PVD、ALD、PECVD或類似者來沈積。
通道層92可沿著溝渠86的側壁及底部表面且沿著鐵電部分90及介電層52上方的側向凹槽G的頂面、側壁以及底部延伸。在一些實施例中,通道層92可進一步沈積於IMD 70上且沿著階梯區中的階梯結構的每一階梯的側壁。通道層92與鐵電部分90的頂面、側壁表面以及底部表面及介電層52的側壁表面接觸。在一些實施例中,通道層92共形地沈積於鐵電部分90及介電層52上,且因此,通道層92具有不平坦的且波狀的側壁輪廓。在一些實施例中,通道層92的兩個側壁SW1及側壁SW2皆為波狀的。如圖20C、圖20D以及圖20E中所繪示,通道層92的側壁SW2在介電層52的水平高度處具有側向凹槽H。側向凹槽H朝著介電層52凹陷。在替代實施例中,如圖20F中所繪示,與鐵電部分90及介電層52接觸的通道層92的側壁SW1為波狀的,而未與鐵電部分90及介電層52接觸的通道層92的側壁SW2實質上為筆直的。
在一些實施例中,如圖20C中所繪示,側向凹槽G中的通道層92具有等於鐵電部分90的厚度T2的厚度T3。在替代實施例中,如圖20D中所繪示,側向凹槽G中的通道層92具有小於鐵電部分90的厚度T2的厚度T3。在替代實施例中,如圖20D中所繪示,側向凹槽G中的通道層92具有小於鐵電部分90的厚度T2的厚度T3。在又替代實施例中,如圖20E及圖20F中所繪示,側向凹槽G中的通道層92具有大於鐵電部分90的厚度T2的厚度T3。
在沈積通道層92之後,可在含氧環境中執行回火製程(例如,在約300℃至約450℃的溫度範圍下)以活化通道層92的電荷載子。
圖21至圖24B示出在溝渠86中形成用於記憶胞202(參見圖1A)的介電材料98及圖案化通道層92。圖24A以局部三維視圖示出。在圖21、圖22、圖23以及圖24B中,提供沿圖1A的線C-C'的橫截面視圖。
在圖21中,介電材料98A沈積於溝渠86及通道層92上方的側向凹槽H中。在一些實施例中,介電材料98A包含可藉由CVD、PVD、ALD、PECVD或類似者沈積的氧化矽、氮化矽、氮氧化矽或類似者。介電材料98A可在通道層92上方沿著溝渠86的側壁及底部表面延伸。在一些實施例中,介電材料98A為視情況選用的,且可視需要省略。
在圖22中,在溝渠86中移除介電材料98A及通道層92的底部部分。移除製程包含可接受的蝕刻製程,諸如乾式蝕刻(例如,RIE、NBE、類似者)、濕式蝕刻、類似者或其組合。蝕刻可為非等向性的。在一些實施例中,自多層堆疊58移除介電材料98A及通道層92的頂部部分。在一些實施例中,移除製程包含微影及蝕刻的組合。
因此,剩餘的介電材料98A及通道層92可暴露出在溝渠86的底部表面上的部分的鐵電部分90。因此,溝渠86的相對側壁上的通道層92的部分可彼此分隔開,此改善了記憶陣列200的記憶胞202之間的隔離(參見圖1A)。
在圖23中,沈積介電材料98B以完全填充溝渠86。介電材料98B可由一或多種材料形成且藉由與介電材料98A的製程相同或類似的製程形成。在一些實施例中,介電材料98B及介電材料98A包含不同材料。介電材料98A及介電材料98B統稱為介電材料98。介電材料98具有不平坦的且波狀的側壁輪廓。
在圖24A及圖24B中,移除製程施加至介電材料98A/介電材料98B、通道層92以及鐵電部分90,以移除多層堆疊58上方的多餘材料。在一些實施例中,可利用平坦化製程,諸如CMP、回蝕製程、其組合或類似者。平坦化製程暴露多層堆疊58,以使得在平坦化製程完成之後,多層堆疊58的頂面(例如介電層52E)、鐵電部分90、通道層92、介電材料98以及IMD 70齊平。
圖25A至圖28D示出在記憶陣列200中製造導電柱106及導電柱108(例如,源極/汲極柱)的中間步驟。導電柱106及導電柱108可沿著垂直於導線72的方向延伸,以使得可選擇記憶陣列200的個別胞以用於讀取操作及寫入操作。圖25A、圖26A、圖27A以及圖28A以局部三維視圖示出。在圖25B及圖26B中,提供沿圖1A的線C-C'的橫截面視圖。在圖27B及圖28B中,提供沿圖1A的線D-D'的橫截面視圖。在圖28C中,提供沿圖1A的線E-E'的橫截面視圖。在圖28D中,提供沿圖1A的線F-F'的橫截面視圖。在圖28E中,提供圖1A的俯視圖。
在圖25A及圖25B中,溝渠100形成穿過通道層92及介電材料98。可經由微影及蝕刻的組合形成溝渠100,例如以移除介電材料98的部分。溝渠100可安置於鐵電部分90的相對側壁之間且可物理地分隔開記憶陣列200中的記憶胞的相鄰堆疊(參見圖1A)。
在圖26A及圖26B中,於溝渠100中形成隔離柱102。在一些實施例中,於填充溝渠100的多層堆疊58上方沈積隔離層。隔離層可包含例如可藉由CVD、PVD、ALD、PECVD或類似者沈積的氧化矽、氮化矽、氮氧化矽或類似者。隔離層可在通道層92上方沿著溝渠100的側壁及底部表面延伸。在沈積之後,可執行平坦化製程(例如,CMP、回蝕或類似者)以移除隔離層的多餘部分。在所得結構中,多層堆疊58(例如介電層52E)、鐵電部分90、通道層92以及隔離柱102的頂面可實質上齊平(例如,在製程變化內)。在一些實施例中,可選擇介電材料98及隔離柱102的材料,使得其可相對於彼此選擇性地蝕刻。舉例而言,在一些實施例中,介電材料98包含氧化物,且隔離柱102包含氮化物。在一些實施例中,介電材料98包含氮化物,且隔離柱102包含氧化物。也可以採用其他材料。
在圖27A及圖27B中,形成溝渠104,以用於隨後形成的導電柱106及導電柱108。舉例而言,藉由用微影及蝕刻的組合圖案化介電材料98來形成溝渠104。在一些實施例中,如圖27A中所繪示,光阻118形成於多層堆疊58、介電材料98、隔離柱102、通道層92以及鐵電部分90上方。在一些實施例中,藉由可接受的微影技術來圖案化光阻118以界定開口120。開口120中的每一者可暴露對應的隔離柱102及隔離柱102旁側的介電材料98的兩個單獨的區。以此方式,開口120中的每一者可界定由隔離柱102分隔開的導電柱106及相鄰導電柱108的圖案。
隨後,被開口120暴露的介電材料98的部分可藉由諸如乾式蝕刻(例如,RIE、NBE或類似者)、濕式蝕刻、類似者或其組合的可接受的蝕刻製程來移除。蝕刻可為非等向性的。蝕刻製程可使用在不顯著地蝕刻隔離柱102的情況下蝕刻介電材料98的蝕刻劑。因此,即使開口120暴露隔離柱102,隔離柱102亦不可顯著地移除。溝渠104的圖案可對應於導電柱106及導電柱108(參見圖28A及圖28B)。在圖案化溝渠104之後,可藉由例如灰化來移除光阻118。
在圖28A及圖28E中,於溝渠104中填充導電材料用以形成導電柱106及導電柱108。可使用例如CVD、ALD、PVD、PECVD或類似者形成的導電材料可包含銅、鈦、氮化鈦、鉭、氮化鉭、鎢、釕、鋁、其組合或類似者。在沈積導電材料之後,可執行平坦化(例如,CMP、回蝕或類似者)以移除導電材料的多餘部分,由此形成導電柱106及導電柱108。在所得結構中,多層堆疊58(例如介電層52E)、鐵電部分90、通道層92、導電柱106以及導電柱108的頂面可實質上齊平(例如,在製程變化內)。在一些實施例中,導電柱106對應於且電連接至記憶陣列中的位元線,且導電柱108對應於且電連接至記憶陣列200中的源極線。
導電柱106及導電柱108穿透多層堆疊58的導線72及介電層52。在一些實施例中,導電柱106及導電柱108具有不平坦的且波狀的側壁輪廓。如圖28C中所繪示,導電柱106或導電柱108包括第一部分P1及第二部分P2。第一部分P1位於與介電層52相同的層級處。第二部分P2位於與導線72相同的層級處。第一部分P1及第二部分P2具有不同寬度。在一些實施例中,第一部分P1具有的第一寬度W1大於第二部分P2的第二寬度W2。通道層92包夾於第一部分P1與介電層52之間,且包夾於第二部分P2與鐵電部分90之間。在一些實施例中,通道層92與第一部分P1及介電層52接觸,且與第二部分P2及鐵電部分90接觸。
因此,如圖1A中所繪示,堆疊的記憶胞202可形成於記憶陣列200中。每一記憶胞202包含閘電極(例如,對應導線72的一部分)、閘極介電(例如,對應鐵電部分90的一部分)、通道區(例如,對應通道層92的一部分)以及源極/汲極柱(例如,對應導電柱106及導電柱108的部分)。隔離柱102使在同一行中且在同一垂直水平處的相鄰的記憶胞202隔離。記憶胞202可安置於垂直堆疊的列及行的陣列中。
圖29A、圖29B、圖29C以及圖29D示出形成用於記憶陣列200的導線116A、導線116B以及導線116C。圖29A示出記憶陣列200的透視圖;圖29B示出沿圖1A的線D-D'的記憶陣列200的橫截面視圖;圖29C示出圖29A的記憶陣列200的俯視圖;且圖29D示出沿圖1A的線E-E'的橫截面視圖;且圖29E示出沿圖1A的線B-B'的元件的橫截面視圖。
在圖29A、圖29B、圖29C、圖29D以及圖29E中,IMD 74形成於多層堆疊58(例如介電層52E)、鐵電部分90、通道層92、導電柱106及導電柱108以及IMD 70的頂面上。分別在導線72、導電柱106以及導電柱108上製得導電接觸件110、導電接觸件112以及導電接觸件114。
IMD 74可由介電材料形成,且可藉由任何合適的方法沈積,所述方法諸如CVD、PECVD、可流動CVD或類似者。介電材料可包含磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼摻雜磷矽酸鹽玻璃(BPSG)、未摻雜矽酸鹽玻璃(USG)、低k介電材料或類似者。在一些實施例中,IMD 74可包含氧化物(例如,氧化矽或類似者)、氮化物(例如,氮化矽或類似者)、其組合或類似者。可使用由任何可接受的製程所形成的其他介電材料。在其之後,移除製程施加至IMD 74以移除多層堆疊58及IMD 70上方的多餘介電材料。在一些實施例中,移除製程可為平坦化製程,諸如化學機械研磨(CMP)、回蝕製程、其組合或類似者。
在一些實施例中,導線72的階梯形狀可在導線72中的每一者上提供表面以用於使導電接觸件110落在所述表面上。在一些實施例中,形成導電接觸件110可包含例如使用微影及蝕刻的組合在IMD 74及IMD 70中圖案化開口以暴露導線72的部分。在開口中形成諸如擴散阻障層、黏著層或類似者的襯墊(未繪示)及導電材料。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似者。導電材料可包含銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似者。可執行平坦化製程(諸如CMP)以自IMD 74的表面移除多餘材料。剩餘的襯墊及導電材料在開口中形成導電接觸件110。
亦如圖29A的透視圖所示出,亦可分別在導電柱106及導電柱108上製得導電接觸件112及導電接觸件114。導電接觸件112、導電接觸件114以及導電接觸件110可分別電連接至導線116A、導線116B以及導線116C,所述導線將記憶陣列連接至半導體晶粒中的下伏/上覆電路(例如控制電路)及/或訊號、電源以及接地線。舉例而言,如圖30D中所繪示,導電接觸件110可延伸穿過IMD 74及IMD 70以將導線116C電連接至導線72。其他導電接觸件或通孔可形成穿過IMD 74以將導線116A及導線116B電連接至下伏主動元件一個基底。在替代實施例中,除內連線結構320之外或代替內連線結構320,可藉由形成於記憶陣列200上方的內連線結構來提供去往記憶陣列及來自記憶陣列的佈線及/或電源線。因此,可完成記憶陣列200。
儘管圖1A至圖29D的實施例示出導電柱106及導電柱108的特定圖案,但其他組態亦為可能的。舉例而言,在此等實施例中,導電柱106及導電柱108具有交錯的圖案。然而,在其他實施例中,如圖30A、圖30B以及圖30C的鐵電記憶陣列200A中所繪示,陣列的同一列中的導電柱106及導電柱108皆與彼此對準。圖30A、圖30B以及圖30C示出根據替代實施例的記憶陣列200A的實例。圖30A示出記憶陣列200A的透視圖;圖30B示出沿圖30A的線H-H'的元件的橫截面視圖;且圖30C示出記憶陣列200A的俯視圖。
圖31示出根據一些實施例的形成鐵電記憶體元件的方法。儘管方法示出及/或描述為一系列動作或事件,但應瞭解,方法不限於所示出的次序或動作。因此,在一些實施例中,動作可以與所示出的次序不同的次序進行及/或可同時進行。另外,在一些實施例中,所示出的動作或事件可細分為多個動作或事件,所述動作或事件可在單獨的時間進行或與其他動作或子動作同時進行。在一些實施例中,可省略一些所示出的動作或事件,且可包含其他未示出的動作或事件。
在動作S300處,多層堆疊形成於基底上方。多層堆疊包括交替堆疊的多個介電層及多個導電層且具有穿透其中的溝渠。圖4至圖16B示出對應於動作S300的一些實施例的不同視圖。
在動作S302處,選擇性地形成多個鐵電部分。多個鐵電部分離散地形成於多個導電層的側壁表面上。在一些實施例中,選擇性地形成多個鐵電部分包括:對多個介電層上執行表面處理;及在多個導電層的側壁上沈積多個鐵電部分。表面處理包括在多個介電層的側壁表面上選擇性地形成多個抑制部分。多個抑制部分包括多個自組裝單層。多個自組裝單層包括例如烷烴硫醇、烷烴磷酸或其組合。多個自組裝單層包括1-十八烷硫醇(ODT)或十八烷基磷酸(ODPA)。在沈積多個鐵電部分之後,執行回火製程以移除多個自組裝單層。圖17A至圖19B示出對應於動作S302的一些實施例的不同視圖。
在動作S304處,通道層形成於多個鐵電部分上。圖20A至圖20A至圖20F示出對應於動作S304的一些實施例的不同視圖。
在本揭露內容的一些實施例中,抑制部分選擇性地形成於介電層多層堆疊的側壁表面上,且因此鐵電部分離散地安置於多層堆疊的導電層上。由於抑制部分阻擋介電層的側壁表面,因此鐵電材料幾乎不形成於介電層的側壁表面上。因此,可解決鐵電材料在介電層及導電層上的不同生長速率及鐵電材料在介電層及導電層上的正交相的不同比例的問題。另外,由於鐵電材料未形成於介電層上,因此導電層上的鐵電材料不受介電層上的鐵電材料抑制,從而有助於斜方晶相的形成且增加斜方晶相的比例。利用本揭露內容的方法,可準確地控制導電層的側壁表面上的鐵電材料的斜方晶相的比例,因而本揭露內容的方法可應用於較小主動HZO區域中的3D高密度記憶體結構。另外,由於所揭露的方法為低溫製程,故可將其整合至用於嵌入式記憶體應用的BEOL中。
在上述實施例中,藉由在形成記憶胞之前形成階梯結構的「階梯先製程」來形成鐵電記憶體元件。然而,本揭露內容不限於此。在其他實施例中,可藉由在形成記憶胞之後形成階梯結構的「階梯後製程」來形成鐵電記憶體元件。
在上述實施例中,藉由沈積犧牲介電層,接著用導電層替換犧牲介電層來形成閘電極(例如字元線)。然而,本揭露內容不限於此。在其他實施例中,閘電極(例如字元線)可在第一階段中形成,而無需視需要的替換步驟。
本揭露內容涵蓋上述實例的許多變化。應理解,不同的實施例可具有不同的優點,且所有實施例不一定需要特定優點。
根據本揭露內容的一些實施例,鐵電記憶體元件包含:多層堆疊,安置於基底上方且包含交替堆疊的多個導電層及多個介電層;通道層,穿透多個導電層及多個介電層;以及多個鐵電部分,離散地安置於通道層與多個導電層之間,所述多個鐵電部分彼此垂直地分隔開非零距離。
根據本揭露內容的替代實施例,元件包含:半導體基底;第一記憶胞,在半導體基底上方;以及第二記憶胞,在第一記憶胞上方。第一記憶胞包含第一薄膜電晶體。第一薄膜電晶體包含:第一鐵電部分,在第一導線的側壁上;以及通道層的第一通道區,在第一鐵電部分的頂面、側壁以及底部表面周圍。導電柱沿著第一記憶胞及第二記憶胞的側面垂直地延伸,第一鐵電部分及第一通道區橫向地位於第一導線與導電柱之間。
根據本揭露內容的又替代實施例,形成鐵電記憶體元件的方法包含:形成包括交替堆疊於基底上方的多個介電層及多個導電層的多層堆疊,多個介電層及多個導電層的側壁界定穿透其中的溝渠;選擇性地在多個導電層的側壁上離散地形成多個鐵電部分;在多個鐵電部分及多個介電層的側壁上形成通道層;以及沿著通道層的側壁形成導電柱。
前文概述若干實施例的特徵,使得所屬領域中具有通常知識者可更佳地理解本揭露內容的態樣。所屬領域中具有通常知識者應瞭解,其可容易地使用本揭露內容做為用於設計或修改用於進行本文中所引入的實施例的相同目的及/或達成相同優點的其他製程及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造不脫離本揭露內容的精神及範疇,且所屬領域中具通常知識者可在不脫離本揭露內容的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
50:基底 52、52A、52B、52C、52D、52E、324:介電層 53、53A、53B、53C、53D:犧牲層 56、118:光阻 58:多層堆疊 60、62、64、66、89、A:區 61、120:開口 70、74:金屬間介電質 71、75:阻障層 72、72A、72B、72C、72D、116A、116B、116C:導線 73:金屬層 80:硬罩幕圖案 82:光阻圖案 86、100、104:溝渠 87:表面處理 88:抑制部分 90、90A、90B、90C、90D:鐵電部分 92:通道層 98、98A、98B:介電材料 102:隔離柱 106、108:導電柱 110、112、114:導電接觸件 200、200A:記憶陣列 202:記憶胞 206:箭頭 302:閘介電層 304:閘電極 306:源極/汲極區 308:閘極間隔件 310:第一層間介電質 312:第二層間介電質 314:源極/汲極接觸件 316:閘極接觸件 320:內連線結構 322:導電特徵 B-B'、C-C'、D-D'、E-E'、F-F':橫截面 G、H:側向凹槽 P1:第一部分 P2:第二部分 S300、S302、S304:動作 SW1、SW2:側壁 T1、T2、T3:厚度 W1:第一寬度 W2:第二寬度
當結合隨附圖式閱讀時,自以下詳細描述最佳地理解本揭露內容的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,出於論述清楚起見,可任意增大或減小各種特徵的尺寸。 圖1A、圖1B以及圖1C示出根據一些實施例的鐵電記憶體元件的簡化透視圖、電路圖以及俯視圖。 圖2、圖3、圖4、圖5、圖6、圖7、圖8、圖9、圖10、圖11、圖12、圖13、圖14、圖15A、圖15B、圖16A、圖16B、圖17A、圖17B、圖18A、圖18B、圖19A、圖19B、圖20A、圖20B、圖20C、圖20D、圖20E、圖20F、圖21、圖22、圖23、圖24A、圖24B、圖25A、圖25B、圖26A、圖26B、圖27A、圖27B、圖28A、圖28B、圖28C、圖28D、圖28E、圖29A、圖29B、圖29C、圖29D以及圖29E示出根據一些實施例的製造記憶陣列的不同視圖。 圖30A、圖30B以及圖30C示出根據替代實施例的記憶陣列的不同視圖。 圖31示出根據一些實施例的形成記憶陣列的方法。
52:介電層
72:導線
90:鐵電部分
92:通道層
98:介電材料
102:隔離柱
106、108:導電柱
200:記憶陣列
202:記憶胞
206:箭頭
B-B'、C-C'、D-D'、E-E'、F-F':橫截面

Claims (20)

  1. 一種鐵電記憶體元件,包括: 多層堆疊,安置於基底上方且包括交替堆疊的多個導電層及多個介電層; 通道層,穿透所述多個導電層及所述多個介電層;以及 多個鐵電部分,離散地安置於所述通道層與所述多個導電層之間,其中所述多個鐵電部分彼此間隔開一個或多個非零距離。
  2. 如請求項1所述的鐵電記憶體元件,其中所述通道層具有不平坦的且波狀的側壁輪廓。
  3. 如請求項1所述的鐵電記憶體元件,其中所述通道層與所述多個鐵電部分的多個頂面、多個底部表面以及多個側壁表面接觸。
  4. 如請求項3所述的鐵電記憶體元件,其中所述通道層還與所述多個介電層接觸。
  5. 如請求項1所述的鐵電記憶體元件,其中所述多個鐵電部分具有超過80莫耳%的斜方晶相的結晶結構。
  6. 如請求項1所述的鐵電記憶體元件,更包括: 導電柱,穿透所述多個導電層及所述多個介電層,其中所述導電柱包括: 多個第一部分,橫向鄰近於所述多個介電層相鄰;以及 多個第二部分,橫向鄰近於所述多個導電層,其中所述多個第一部分及所述多個第二部分具有不同寬度。
  7. 如請求項6所述的鐵電記憶體元件,其中所述通道層包夾於所述多個介電層與所述導電柱的所述多個第一部分之間,且包夾於所述多個鐵電部分與所述導電柱的所述多個第二部分之間。
  8. 如請求項7所述的鐵電記憶體元件,其中所述導電柱的所述多個第一部分具有大於所述導電柱的所述多個第二部分的第二寬度的第一寬度。
  9. 一種鐵電記憶體元件,包括: 半導體基底; 第一記憶胞,在所述半導體基底上方,所述第一記憶胞包括第一薄膜電晶體,其中所述第一薄膜電晶體包括: 第一鐵電部分,在第一導線的側壁上;以及 通道層的第一通道區,在所述第一鐵電部分的頂面、側壁以及底部表面周圍; 第二記憶胞,在所述第一記憶胞上方;以及 導電柱,沿著所述第一記憶胞及所述第二記憶胞的側面垂直地延伸,其中所述第一鐵電部分及所述第一通道區橫向位於所述第一導線與所述導電柱之間。
  10. 如請求項9所述的鐵電記憶體元件,其中所述第二記憶胞包括第二薄膜電晶體,且所述第二薄膜電晶體包括: 第二導線,位於所述第一導線上方且藉由介電層與所述第一導線分隔開; 第二鐵電部分,在第二導線的側壁上;以及 所述通道層的第二通道區,在所述第二鐵電部分的頂面、側壁以及底部表面周圍。
  11. 如請求項10所述的鐵電記憶體元件,其中所述通道層連續延伸以接觸所述第一鐵電部分及所述第二鐵電部分的所述頂面、所述側壁以及所述底部表面。
  12. 如請求項10所述的鐵電記憶體元件,其中所述導電柱包括: 第一區,在所述第一通道區的側壁上;以及 第二區,在所述通道層的所述第二通道區的側壁上,其中所述第一區及所述第二區具有的寬度小於在其縱向之間的第三區的寬度。
  13. 如請求項9所述的鐵電記憶體元件,其中所述第一鐵電部分具有超過80莫耳%斜方晶相的結晶結構。
  14. 一種形成鐵電記憶體元件的方法,包括: 於基底上方形成多層堆疊且包括交替堆疊的多個介電層及多個導電層,其中所述多個介電層及所述多個導電層的側壁界定穿透其中的溝渠; 選擇性地在所述多個導電層的側壁上離散地形成多個鐵電部分; 在所述多個鐵電部分及所述多個介電層的所述側壁上形成通道層;以及 沿著所述通道層的側壁形成導電柱。
  15. 如請求項14所述的形成鐵電記憶體元件的方法,其中所述選擇性地在所述多個導電層的側壁上離散地形成所述多個鐵電部分包括: 對所述多個介電層的側壁表面執行表面處理;以及 在所述多個導電層的所述側壁上沈積所述多個鐵電部分。
  16. 如請求項15所述的形成鐵電記憶體元件的方法,其中所述執行所述表面處理包括在所述多個介電層的所述側壁表面上選擇性地形成多個抑制部分。
  17. 如請求項16所述的形成鐵電記憶體元件的方法,其中所述多個抑制部分包括多個自組裝單層。
  18. 如請求項17所述的形成鐵電記憶體元件的方法,其中所述多個自組裝單層包括烷烴硫醇、烷烴磷酸或其組合。
  19. 如請求項17所述的形成鐵電記憶體元件的方法,其中所述多個自組裝單層包括1-十八烷硫醇(ODT)或十八烷基磷酸(ODPA)。
  20. 如請求項17所述的形成鐵電記憶體元件的方法,更包括回火製程以在所述沈積所述多個鐵電部分之後移除所述多個自組裝單層。
TW110110728A 2020-05-28 2021-03-24 鐵電記憶體元件及其形成方法 TWI769757B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031040P 2020-05-28 2020-05-28
US63/031,040 2020-05-28
US17/098,919 US11910617B2 (en) 2020-05-28 2020-11-16 Ferroelectric memory device and method of forming the same
US17/098,919 2020-11-16

Publications (2)

Publication Number Publication Date
TW202145453A true TW202145453A (zh) 2021-12-01
TWI769757B TWI769757B (zh) 2022-07-01

Family

ID=77570328

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110110728A TWI769757B (zh) 2020-05-28 2021-03-24 鐵電記憶體元件及其形成方法

Country Status (5)

Country Link
US (1) US20220384459A1 (zh)
KR (1) KR102548070B1 (zh)
CN (1) CN113380823A (zh)
DE (1) DE102020130975A1 (zh)
TW (1) TWI769757B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US11910617B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11282572B2 (en) * 2020-06-15 2022-03-22 Taiwan Semiconductor Manufacturing Company Limited Multinary bit cells for memory devices and network applications and method of manufacturing the same
US11842777B2 (en) 2020-11-17 2023-12-12 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
US11723210B2 (en) * 2021-03-05 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. High selectivity isolation structure for improving effectiveness of 3D memory fabrication
US11652148B2 (en) * 2021-05-13 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of selective film deposition and semiconductor feature made by the method
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10250357A1 (de) * 2002-10-29 2004-05-19 Infineon Technologies Ag Ferroelektrische Speicherzelle
JP4745108B2 (ja) * 2006-04-06 2011-08-10 株式会社東芝 不揮発性半導体記憶装置
KR101652829B1 (ko) * 2010-06-03 2016-09-01 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
KR102321739B1 (ko) * 2015-02-02 2021-11-05 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR101872122B1 (ko) * 2016-11-25 2018-06-27 연세대학교 산학협력단 3 차원 강유전체 메모리 소자 및 이의 제조 방법
US9941299B1 (en) * 2017-05-24 2018-04-10 Sandisk Technologies Llc Three-dimensional ferroelectric memory device and method of making thereof
KR20180134122A (ko) * 2017-06-08 2018-12-18 에스케이하이닉스 주식회사 강유전층을 구비하는 반도체 장치 및 그 제조 방법
US10163933B1 (en) * 2017-08-14 2018-12-25 Globalfoundries Inc. Ferro-FET device with buried buffer/ferroelectric layer stack
EP3499580A1 (en) * 2017-12-18 2019-06-19 IMEC vzw Method of manufacturing a 3d semiconductor memory device and device manufactured using such method
US11380709B2 (en) * 2018-09-04 2022-07-05 Sandisk Technologies Llc Three dimensional ferroelectric memory
US10651182B2 (en) * 2018-09-28 2020-05-12 Intel Corporation Three-dimensional ferroelectric NOR-type memory
US11309332B2 (en) * 2019-09-12 2022-04-19 Sandisk Technologies Llc Three-dimensional memory device containing ferroelectric memory elements encapsulated by transition metal-containing conductive elements and method of making thereof

Also Published As

Publication number Publication date
DE102020130975A1 (de) 2021-12-02
CN113380823A (zh) 2021-09-10
KR102548070B1 (ko) 2023-06-26
KR20210148847A (ko) 2021-12-08
TWI769757B (zh) 2022-07-01
US20220384459A1 (en) 2022-12-01

Similar Documents

Publication Publication Date Title
TWI769757B (zh) 鐵電記憶體元件及其形成方法
US11910617B2 (en) Ferroelectric memory device and method of forming the same
US11729988B2 (en) Memory device comprising conductive pillars and method of forming the same
US11515332B2 (en) Ferroelectric memory device and method of forming the same
US11587950B2 (en) Memory device and method of forming the same
US11647635B2 (en) Ferroelectric memory device and method of forming the same
TWI776411B (zh) 記憶胞、半導體裝置及其製造方法
US20220310132A1 (en) Memory Array Word Line Routing
US11695073B2 (en) Memory array gate structures
US20230255032A1 (en) Memory devices
US20220367515A1 (en) Ferroelectric memory device and method of forming the same
TW202201650A (zh) 記憶體裝置及其形成方法
TWI807270B (zh) 記憶胞、半導體元件及形成半導體元件的方法
US20210375934A1 (en) Ferroelectric memory device and method of forming the same
US20220359570A1 (en) Ferroelectric memory device and method of forming the same
US20220416085A1 (en) Memory Array Gate Structures
TWI758123B (zh) 鐵電記憶體裝置及其形成方法
TWI759123B (zh) 鐵電記憶體裝置及其形成方法
US20240081078A1 (en) Memory device and method of forming the same