TW202141655A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202141655A
TW202141655A TW110101198A TW110101198A TW202141655A TW 202141655 A TW202141655 A TW 202141655A TW 110101198 A TW110101198 A TW 110101198A TW 110101198 A TW110101198 A TW 110101198A TW 202141655 A TW202141655 A TW 202141655A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
dielectric
gate
spacer
Prior art date
Application number
TW110101198A
Other languages
English (en)
Other versions
TWI777363B (zh
Inventor
邱奕勛
蔡慶威
黃禹軒
莊正吉
張尚文
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202141655A publication Critical patent/TW202141655A/zh
Application granted granted Critical
Publication of TWI777363B publication Critical patent/TWI777363B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本文公開了在半導體裝置的源極/汲極區域和閘極結構上執行背面蝕刻製程的方法以及由其形成的半導體裝置。在一個實施例中,半導體裝置包括:第一電晶體結構;在第一電晶體結構的正面的第一互連結構;在第一電晶體結構的背面的第二互連結構,此第二互連結構包括:在第一電晶體結構的背面的第一介電層;延伸穿過在第一介電層到第一電晶體結構的源極/汲極區域的接觸件;以及沿著接觸件和第一介電層之間之接觸件的側壁的第一間隔物,面對第一介電層之第一間隔物的側壁與第一電晶體結構的源極/汲極區域的側壁對齊。

Description

半導體裝置中的自對準蝕刻
半導體裝置被用於各種電子應用中(例如,個人電腦、手機、數位相機和其他電子裝置)。通常透過依次在半導體基板上沉積絕緣層或介電層、導電層和半導體層的材料的方式製造半導體裝置,並使用微影圖案化各種材料層以在其上形成電路組件和元件。
半導體工業透過不斷地減小最小特徵尺寸來繼續提高各種電子元件(例如,電晶體、二極體、電阻器、電容器等)的集積密度,這允許將更多的元件整合到給定的區域中。但是,隨著最小特徵尺寸的減小,出現了應解決的其他問題。
以下提供了用於實現本公開的不同特徵之許多不同的實施例或示例。以下描述元件和佈置的特定示例以簡化本公開。當然,這些僅僅是示例,而無意於進行限制。例如,在下面的描述中,在第二特徵之上或上方形成第一特徵可以包括其中形成第一特徵和第二特徵直接接觸的實施例,並且還可以包括其中在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各個示例中重複參考數字和/或文字。此重複是出於簡單和清楚的目的,並且其本身並不指示所討論的各種實施例和/或配置之間的關係。
更甚者,空間相對的詞彙(例如,「低於」、「下方」、「之下」、「上方」、「之上」等相關詞彙)於此用以簡單描述如圖所示之元件或特徵與另一元件或特徵的關係。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋裝置的不同轉向。再者,這些裝置可旋轉(旋轉90度或其他角度),且在此使用之空間相對的描述語可作對應的解讀。
各個實施例提供了用於在半導體裝置的閘極結構和磊晶源極/汲極區域上執行背面蝕刻/修整製程(etching/trimming processes)的方法以及由此方法形成的半導體裝置。背面蝕刻/修整製程可以是自對準製程,其使用沿著半導體鰭片的側壁形成的間隔物作為遮罩來蝕刻閘極結構和磊晶源極/汲極區域。使用自對準背面製程對閘極結構和磊晶源極/汲極區域進行蝕刻/修整可以使閘極結構和磊晶源極/汲極區域的蝕刻精度更高,從而可以減小特徵尺寸並增加裝置密度。此外,在蝕刻之後可以在閘極結構和磊晶源極/汲極區域附近形成低介電常數(k)介電質材料和/或氣隙,並且可以提供較小的寄生電容,從而改善裝置的性能。
本公開所討論的部分實施例是在包括奈米場效應電晶體的晶粒的背景下描述的。然而,不同的實施例可使用替代奈米場效應電晶體或與奈米場效應電晶體結合之包括其他類型的電晶體(例如,鰭式場效應電晶體(fin field effect transistors, FinFETs)、平面電晶體等)的晶粒。
第1圖根據部分實施例以三維視圖繪示了奈米場效應電晶體(例如,奈米線場效應電晶體、奈米片場效應電晶體等)的示例。奈米場效應電晶體包括在基板50(例如,半導體基板、絕緣體上矽基板等)上的鰭片66上方的奈米結構55(例如,奈米片、奈米線等)。奈米結構55作為奈米場效應電晶體的通道區域。奈米結構55可以包括p型奈米結構、n型奈米結構或其組合。淺溝槽隔離(shallow trench isolation, STI)區域68設置在相鄰的鰭片66之間,其中鰭片66可以在相鄰的淺溝槽隔離區域68之間突出。雖然將淺溝槽隔離區域68描述/繪示為與基板50分離,但是如本文所使用的,術語「基板」可以代表單獨的半導體基板或半導體基板和淺溝槽隔離區域的組合。另外,雖然將鰭片66的底部繪示為單個與基板50連續的材料,但是鰭片66的底部和/或基板50可以包括單一材料或多種材料。在本公開中,鰭片66是指在相鄰的淺溝槽隔離區域68之間延伸的部分。
閘極介電層100沿著鰭片66的頂表面和側壁,並且沿著奈米結構55的頂表面、側壁和底表面。閘極102在閘極介電層100之上。磊晶源極/汲極區域92設置在閘極介電層100和閘極102之相對側上的鰭片66上。
第1圖進一步繪示在隨後的附圖中使用的參考橫截面。橫截面A-A'(亦稱之為線)沿著閘極99的長軸,並且橫截面A-A'的方向在例如垂直於奈米場效應電晶體的磊晶源極/汲極區域92之間的電流流動的方向上。橫截面B-B'(亦稱之為線)平行於橫截面A-A',並延伸穿過奈米場效應電晶體的磊晶源極/汲極區域92。橫截面C-C'(亦稱之為線)垂直於橫截面A-A',並且橫截面C-C'的方向平行於奈米場效應電晶體的鰭片66的長軸,並且在例如沿奈米場效應電晶體的磊晶源極/汲極區域92之間的電流流動的方向上。為了清楚起見,後續附圖參考這些參考的橫截面。
本公開討論的部分實施例是在使用後閘極製程(gate-last process)形成的奈米場效應電晶體的背景下討論的。在其他實施例中,可以使用先閘極製程(gate-first process)。此外,部分實施例考慮了在平面裝置中(例如,平面場效應電晶體或鰭式場效應電晶體(fin field-effect transistors, FinFETs))使用的方面。
第2圖至第38C圖是根據部分實施例在製造奈米場效應電晶體的中間階段的橫截面圖和後視圖。第2圖至第5圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第31E圖、第32A圖、第32E圖、第32G圖、第33A圖、第34A圖、第35A圖、第36A圖、第37A圖和第38A圖繪示在第1圖中所示之參考橫截面A-A'。第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第14D圖、第14E圖、第15B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖、第30E圖、第31B圖、第32B圖、第32F圖、第33B圖、第34B圖、第35B圖、第36B圖、第37B圖和第38B圖繪示在第1圖中所示之參考橫截面B-B'。第6C圖、第7C圖、第8C圖、第9C圖、第10C圖、第11C圖、第11D圖、第12C圖、第13C圖、第14C圖、第14F圖、第15C圖、第16C圖、第17C圖、第18C圖、第19C圖、第20C圖、第21C圖、第22C圖、第23C圖、第24C圖、第25C圖、第26C圖、第27C圖、第28C圖、第29C圖、第30C圖、第31C圖、第32C圖、第33C圖、第34C圖、第35C圖、第36C圖、第37C圖和第38C圖繪示在第1圖中所示之參考橫截面C-C'。第25D圖、第26D圖、第27D圖、第28D圖、第29D圖、第30D圖、第31D圖、第32D圖、第33D圖、第34D圖和第35D圖是後視圖。
在第2圖中,提供了絕緣體上矽基板50。絕緣體上矽基板50包括第一基板50A、第一絕緣層50B和第二基板50C。第一基板50A可以是矽或玻璃基板。在部分實施例中,第一基板50A可以是晶片(例如,矽晶片)。第一絕緣層50B可以是例如掩埋氧化物(buried oxide, BOX)層、氧化矽層等。第二基板50C可以是可以被摻雜(例如,用p型或n型摻雜劑)或不摻雜的半導體基板(例如,塊狀半導體等)。第二基板50C的半導體材料可以包括:矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括矽鍺、磷化砷鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦和/或磷砷化鎵銦;或其組合。然而,也可以使用其他基板(例如,單層、多層或梯度基板)。
絕緣體上矽基板50具有n型區域50N和p型區域50P。n型區域50N可以用於形成諸如N型金屬氧化物半導體場效應電晶體(例如,n型奈米場效應電晶體)的n型裝置,並且p型區域50P可以用於形成諸如P型金屬氧化物半導體場效應電晶體(例如,p型奈米場效應電晶體)的p型裝置。n型區域50N與p型區域50P可以物理上地分開(如圖中所示之分隔件(divider)20),並且可以在n型區域50N和p型區域50P之間設置任何數量的裝置特徵(例如,其他的主動裝置、摻雜區域、隔離結構等)。儘管在圖中僅繪示一個n型區域50N和一個p型區域50P,然而可以提供任何數量的n型區域50N和p型區域50P。
此外,在第2圖中,在絕緣體上矽基板50上形成了多層堆疊64。多層堆疊64包括第一半導體層51A至51C(統稱為第一半導體層51)和第二半導體層53A至53C(統稱為第二半導體層53)的交替層。為了說明的目的並且如下面更詳細地討論的,第一半導體層51將被去除並且第二半導體層53將被圖案化以在n型區域50N和p型區域50P中形成奈米場效應電晶體的通道區域。然而,在部分實施例中,可以去除第一半導體層51並且可以圖案化第二半導體層53,以在n型區域50N中形成奈米場效應電晶體的通道區域,並且可以去除第二半導體層53並且可以圖案化第一半導體層51,以在p型區域50P中形成奈米場效應電晶體的通道區域。在部分實施例中,可以去除第二半導體層53並且可以圖案化第一半導體層51,以在n型區域50N中形成奈米場效應電晶體的通道區域,並且可以去除第一半導體層51並且可以圖案化第二半導體層53,以在p型區域50P中形成奈米場效應電晶體的通道區域。在部分實施例中,可以去除第二半導體層53並且可以圖案化第一半導體層51,以在n型區域50N和p型區域50P兩者中形成奈米場效應電晶體的通道區域。
出於說明性目的,將多層堆疊64繪示為包括三層第一半導體層51和三層第二半導體層53。在部分實施例中,多層堆疊64可以包括任何數量的第一半導體層51和第二半導體層53。可以使用諸如化學氣相沉積(chemical vapor deposition, CVD)、原子層沉積(atomic layer deposition, ALD)、氣相磊晶(vapor phase epitaxy, VPE)、分子束磊晶(molecular beam epitaxy, MBE)等的製程磊晶生長多層堆疊64中的每一層。在各種實施例中,第一半導體層51可以由適合於p型奈米場效應電晶體的第一半導體材料(例如,矽鍺等)形成,而第二半導體層53可以由適合於n型奈米場效應電晶體的第二半導體材料(例如,矽、碳化矽等)形成。儘管多層堆疊64被繪示為包括第一半導體層51作為最底層,然而在部分實施例中,多層堆疊64的最底層可以是第二半導體層53。
第一半導體材料和第二半導體材料可以是彼此具有高蝕刻選擇性的材料。如此,可以在不會顯著地去除第二半導體材料的第二半導體層53的情況下去除第一半導體材料的第一半導體層51,從而允許圖案化第二半導體層53以形成奈米場效應電晶體的通道區域。類似地,在去除第二半導體層53並且圖案化第一半導體層51以形成通道區域的實施例中,可以在不會顯著地去除第一半導體材料的第一半導體層51的情況下去除第二半導體材料的第二半導體層53,從而允許圖案化第一半導體層51以形成奈米場效應電晶體的通道區域。
現在參考第3圖,根據部分實施例,在第二基板50C中形成鰭片66,並且在多層堆疊64中形成奈米結構55。在部分實施例中,可以透過在多層堆疊64和第二基板50C中蝕刻溝槽來分別在多層堆疊64和第二基板50C中形成奈米結構55和鰭片66。蝕刻可以是任何可接受的蝕刻製程(例如,反應性離子蝕刻(reactive ion etch, RIE)、中性束蝕刻(neutral beam etch, NBE)等或其組合)。蝕刻可以是各向異性的。透過蝕刻多層堆疊64來形成奈米結構55可以進一步從第一半導體層51中定義第一奈米結構52A至52C(共同地稱為第一奈米結構52)和從第二半導體層53中定義第二奈米結構54A至54C(共同地稱為第二奈米結構54)。第一奈米結構52和第二奈米結構54可統稱為奈米結構55。相鄰的鰭片66和奈米結構55之間的距離CD1 可為約18奈米(nm)至約100 nm。
鰭片66和奈米結構55可以透過任何合適的方法來圖案化。例如,可以使用一種或多種微影製程(包括雙重圖案化或多重圖案化製程)來圖案化鰭片66和奈米結構55。通常,雙重圖案化或多重圖案化製程將微影和自對準製程相結合,從而允許創建具有例如間距小於使用單個直接微影法可獲得的間距的圖案。例如,在一個實施例中,在基板上方形成犧牲層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後去除犧牲層,接著便可以使用剩餘的間隔物來圖案化鰭片66。
出於示例性目的,第3圖將n型區域50N和p型區域50P中的鰭片66和奈米結構55繪示為具有實質上相等的寬度。在部分實施例中,n型區域50N中的鰭片66和奈米結構55的寬度可以比p型區域50P中的鰭片66和奈米結構55的寬度更寬或更薄。進一步地,儘管在整個過程中每個鰭片66和奈米結構55均被繪示為具有一致的寬度,但是在其他實施例中,鰭片66和/或奈米結構55可以具有傾斜的側壁,使得每個鰭片66和/或奈米結構55的寬度在朝向絕緣體上半導體基板50的方向上連續地增加。在這樣的實施例中,每個奈米結構55可以具有不同的寬度並且形狀為梯形。
在第4圖中,在靠近鰭片66的地方形成淺溝槽隔離區域68。可以透過在第二基板50C、鰭片66和奈米結構55上方以及在鰭片66和奈米結構55之間沉積絕緣材料來形成淺溝槽隔離區域68。絕緣材料可以是氧化物(例如,氧化矽、氮化物等或它們的組合),並且可以透過高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition, HDP-CVD)、可流動化學氣相沉積(flowable chemical vapor deposition, FCVD)等或其組合形成。可以使用透過任何可接受的製程形成的其他絕緣材料。在所示的實施例中,絕緣材料是透過可流動化學氣相沉積製程形成的氧化矽。一旦形成絕緣材料,就可以執行退火製程。在部分實施例中,形成絕緣材料,使得過量的絕緣材料覆蓋奈米結構55。儘管在此將絕緣材料繪示為單層,然而部分實施例可以使用多層。例如,在部分實施例中,可以先沿著第二基板50C、鰭片66和奈米結構55的表面形成襯墊(未分別地繪示)。此後,可以在襯墊上形成諸如上述的填充材料。
然後,對絕緣材料執行去除製程以去除奈米結構55上多餘的絕緣材料。在部分實施例中,可執行平坦化製程(例如,化學機械平坦化(chemical mechanical polish, CMP)、回蝕刻製程、其組合或者類似的製程)。平坦化製程暴露奈米結構55,使得在平坦化製程完成之後,奈米結構55和絕緣材料的頂表面是水平的。
然後使絕緣材料凹陷以形成淺溝槽隔離區域68。使絕緣材料凹陷,以使得奈米結構55和鰭片66的上部從n型區域50N和p型區域50P中相鄰的淺溝槽隔離區域68之間突出。此外,淺溝槽隔離區域68的頂表面可以具有如圖所示的平坦表面、凸表面、凹表面(例如,凹陷)或其組合。淺溝槽隔離區域68的頂表面可以透過適當的蝕刻形成為平坦的、凸的和/或凹的。淺溝槽隔離區域68的凹陷可以使用可接受的蝕刻製程(例如,對絕緣材料的材料具有選擇性的蝕刻製程(例如,此蝕刻製程會以比蝕刻鰭片66和奈米結構55的材料更快的速率蝕刻絕緣材料的材料))。例如,可以使用氧化物去除(例如,使用稀氫氟酸(dilute hydrofluoric acid, dHF))。
上面關於第2圖至第4圖所描述的過程僅僅是如何形成鰭片66和奈米結構55的一個示例。在部分實施例中,可以使用遮罩和磊晶生長製程來形成鰭片66和/或奈米結構55。例如,可以在第二基板50C的頂表面上方形成介電層,並且可以蝕刻穿過介電層的溝槽以暴露下面的第二基板50C。可以在溝槽中磊晶生長磊晶結構,並且可以使介電層凹陷,使得磊晶結構從介電層突出以形成鰭片66和/或奈米結構55。磊晶結構可以包括上述交替的半導體材料(例如,第一半導體材料和第二半導體材料)。在磊晶生長磊晶結構的部分實施例中,儘管原位和佈植摻雜可以一起使用,磊晶生長的材料可以在生長期間被原位摻雜(然而,這可能會消除之前和/或隨後的佈植)。
另外,僅用於說明目的,本文將第一半導體層51(以及所得的第一奈米結構52)和第二半導體層53(以及所得的第二奈米結構54)繪示並討論為在p型區域50P和n型區域50N中包括相同的材料。如此,在部分實施例中,第一半導體層51和第二半導體層53中的一個或兩個可以是不同的材料,或者可以以不同的順序形成在p型區域50P和n型區域50N中。
進一步在第4圖中,可以在鰭片66、奈米結構55和/或淺溝槽隔離區域68中形成適當的井(未單獨繪示)。在具有不同井類型的實施例中,可以使用光阻或其他遮罩(未單獨繪示)來實現用於n型區域50N和p型區域50P的不同佈植步驟。例如,可以在n型區域50N和p型區域50P中的奈米結構55、鰭片66和淺溝槽隔離區域68上方形成光阻。可以圖案化光阻以暴露p型區域50P。可以透過使用旋塗技術來形成光阻,並且可以使用可接受的微影技術來對光阻進行圖案化。一旦圖案化光阻,就可以在p型區域50P中進行n型雜質佈植,並且光阻可以作為遮罩以實質上防止n型雜質被佈植到n型區域50N中。n型雜質可以是以大約1013 原子/公分3 至大約1014 原子/公分3 的濃度佈植到此區域中的磷、砷、銻等。在佈植之後,可以例如透過可接受的灰化製程來去除光阻。
在佈植p型區域50P之後或之前,可以在p型區域50P和n型區域50N中的奈米結構55、鰭片66和淺溝槽隔離區域68上方形成光阻或其他遮罩(未單獨繪示)。可以圖案化光阻以暴露n型區域50N。可以透過使用旋塗技術來形成光阻,並且可以使用可接受的微影技術來對光阻進行圖案化。一旦光阻被圖案化,就可以在n型區域50N中進行p型雜質佈植,並且光阻可以作為遮罩以實質上防止p型雜質被佈植到p型區域50P中。p型雜質可以是以大約1013 原子/公分3 至大約1014 原子/公分3 的濃度佈植到此區域中的硼、氟化硼、銦等。在佈植之後,可以例如透過可接受的灰化製程來去除光阻。
在佈植n型區域50N和p型區域50P之後,可以執行退火以修復佈植損傷並活化佈植的p型和/或n型雜質。在部分實施例中,儘管原位和佈植摻雜可以一起使用,磊晶鰭片和奈米結構的生長材料可以在生長期間被原位摻雜(然而,這可能會消除佈植)。
在第5圖中,在鰭片66和/或奈米結構55上形成虛設介電層70。虛設介電層70可以是諸如氧化矽、氮化矽或其組合等並且可以根據可接受的技術沉積或熱生長。在虛設介電層70上方形成虛設閘極層72,並且在虛設閘極層72上方形成遮罩層74。可以在虛設介電層70上方沉積虛設閘極層72,然後透過諸如化學機械平坦化將其平坦化。遮罩層74可以沉積在虛設閘極層72上方。虛設閘極層72可以是導電、半導電或不導電的材料,並且可以選自於由非晶矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物以及金屬所組成的群組。可以透過物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積、濺鍍沉積或用於沉積所選材料的其他技術來沉積虛設閘極層72。虛設閘極層72可以由對淺溝槽隔離區域68具有高蝕刻選擇性的其他材料製成。遮罩層74可以包括諸如氮化矽、氧氮化矽等。在此示例中,在n型區域50N和p型區域50P上形成單個虛設閘極層72和單個遮罩層74。應當理解,出於說明的目的,繪示的虛設介電層70僅覆蓋鰭片66和奈米結構55。在部分實施例中,可以沉積虛設介電層70,使得虛設介電層70覆蓋淺溝槽隔離區域68,並且虛設介電層70在虛設閘極層72和淺溝槽隔離區域68之間延伸。
第6A圖至第38C圖繪示實施例裝置在製造中的各種附加步驟。第6C圖、第7C圖、第8C圖、第9C圖、第10C圖、第11C圖、第11D圖、第12C圖、第13C圖、第14C圖、第14F圖、第15C圖、第16C圖、第17C圖、第18C圖、第19C圖、第20C圖、第21C圖、第22C圖、第23C圖、第24C圖、第25C圖、第26C圖、第27C圖、第28C圖、第29C圖、第30C圖、第31C圖、第32C圖、第33C圖、第34C圖、第35C圖、第36C圖、第37C圖和第38C圖繪示在n型區域50N或p型區域50P中的特徵。第6A圖至第38C圖的其餘部分繪示在n型區域50N和p型區域50P中的特徵。
在第6A圖至第6C圖中,可以使用可接受的微影和蝕刻技術來對遮罩層74(參見第5圖)進行圖案化,以形成遮罩78。然後,可以將遮罩78的圖案轉移到虛設閘極層72和虛設介電層70上,以分別地形成虛設閘極76和虛設閘極介電質71。虛設閘極76覆蓋奈米結構55的各個通道區域。遮罩78的圖案可以用於將虛設閘極76中的每一個與相鄰的虛設閘極76物理地分開。虛設閘極76的長度方向可以實質上垂直於各個鰭片66的長度方向。
在第7A圖至第7C圖中,分別在第6A圖至第6C圖所示的結構上形成第一間隔物層80和第二間隔物層82。隨後將第一間隔物層80和第二間隔物層82圖案化以作為用於形成自對準的源極/汲極區域的間隔物。在第7A圖至第7C圖中,第一間隔物層80形成在遮罩78和奈米結構55的頂表面和側壁上;在淺溝槽隔離區域68的頂表面上;以及在鰭片66、虛設閘極76和虛設閘極介電質71的側壁上。第二間隔物層82沉積在第一間隔物層80上。第一間隔物層80可以由氧化矽、氮化矽、氧氮化矽形成,並使用諸如熱氧化的技術或透過化學氣相沉積、原子層沉積等的沉積技術。第二間隔物層82的材料可以與第一間隔物層80的材料具有不同的蝕刻速率,例如,第二間隔物層82的材料可以是氧化矽、氮化矽、氮氧化矽等,並且可以透過化學氣相沉積、原子層沉積等沉積。
在形成第一間隔物層80之後並且在形成第二間隔物層82之前,可以執行在輕度摻雜的源極/汲極(lightly doped source/drain, LDD)區域(未單獨繪示)的佈植。在具有不同裝置類型的實施例中(類似於以上在第4圖中討論的佈植),可以在暴露p型區域50P的同時在n型區域50N上方形成遮罩(例如,光阻),並且可以在p型區域50P中將適當類型的雜質(例如,p型)佈植到暴露的鰭片66和奈米結構55中。然後可以去除遮罩。隨後,可以在暴露n型區域50N的同時在p型區域50P上方形成遮罩(例如,光阻),並且可以在n型區域50N中將適當類型的雜質(例如,n型)佈植到暴露的鰭片66和奈米結構55中。然後可以去除遮罩。在此所指的n型雜質可以是先前討論之任何的n型雜質,並且在此所指的p型雜質可以是先前討論之任何的p型雜質。輕度摻雜的源極/汲極區域可具有約1x1015 原子/公分3 至約1x1019 原子/公分3 的雜質濃度。退火可用於修復佈植損傷並活化佈植的雜質。
在第8A圖至第8C圖中,蝕刻第一間隔物層80和第二間隔物層82以形成第一間隔物81和第二間隔物83。如下文將更詳細地討論的,第一間隔物81和第二間隔物83可用於自對準隨後形成的源極汲極區域,以及在隨後的製程期間保護鰭片66和/或奈米結構55的側壁。可以使用合適的刻蝕製程來刻蝕第一間隔物層80和第二間隔物層82,所述刻蝕製程諸如各向同性刻蝕製程(例如,濕式刻蝕製程)、各向異性刻蝕製程(例如,乾式刻蝕製程)、多種製程或它們的組合等。在部分實施例中,第二間隔物層82的材料具有與第一間隔物層80的材料不同的蝕刻速率,使得在對第二間隔物層82進行圖案化時,第一間隔物層80可以作為蝕刻停止層。當對第一間隔物層80進行圖案化時,第二間隔物層82也可以作為遮罩。例如,可以使用各向異性蝕刻製程來蝕刻第二間隔物層82,而第一間隔物層80可作為蝕刻停止層。第二間隔物層82的其餘部分可以形成第二間隔物83(如第8B圖所示)。此後,第二間隔物83作為遮罩,而蝕刻第一間隔物層80的暴露部分,從而形成第一間隔物81(如第8B圖和第8C圖所示)。
如第8B圖所示,第一間隔物81和第二間隔物83設置在鰭片66和/或奈米結構55的側壁上。如第8C圖所示,在部分實施例中,可以從與遮罩78、虛設閘極76和虛設閘極介電質71相鄰之第一間隔物層80的上方去除第二間隔物層82,並且第一間隔物81設置在遮罩78、虛設閘極76和虛設閘極介電質71的側壁上。在其他實施例中,第二間隔物層82的一部分可以保留在與遮罩78、虛設閘極76和虛設閘極介電質71相鄰之第一間隔物層80的上方。
應理解,以上公開總體上描述了形成間隔物和輕度摻雜的源極/汲極區域的製程。然而,也可以使用其他製程和順序。例如,可以使用更少或額外的間隔物、可以使用不同的步驟順序(例如,可以在沉積第二間隔物層82之前對第一間隔物81進行圖案化)、可以形成和去除額外的間隔物和/或其他。此外,可以使用不同的結構和步驟來形成n型和p型裝置。
在第9A圖至第9C圖中,根據部分實施例,在奈米結構55、鰭片66和第二基板50C中形成第一凹槽86。隨後將在第一凹槽86中形成磊晶源極/汲極區域。第一凹槽86可以延伸穿過第一奈米結構52和第二奈米結構54,並延伸到第二基板50C中。如第9B圖所示,淺溝槽隔離區域58的頂表面可以與第一凹槽86的底表面齊平。在各個實施例中,可以蝕刻鰭片66,使得第一凹槽86的底表面設置在淺溝槽隔離區域68的頂表面下方或類似。可以透過使用各向異性蝕刻製程(例如,反應性離子蝕刻、中性束蝕刻等)蝕刻奈米結構55、鰭片66和第二基板50C來形成第一凹槽86。在用於形成第一凹槽86的蝕刻過程中,第一間隔物81、第二間隔物83和遮罩78覆蓋部分的奈米結構55、鰭片66和第二基板50C。可以使用一次蝕刻製程或多次蝕刻製程來蝕刻奈米結構55、鰭片66和/或第二基板50C中的每一層。在第一凹槽86達到期望的深度之後,可以使用定時蝕刻製程來停止對第一凹槽86的蝕刻。
在第10A圖至第10C圖中,蝕刻由第一凹槽86暴露之由第一半導體材料(例如,第一奈米結構52)形成的多層堆疊64的各層之部分的側壁,以形成側壁凹槽88。儘管在第10C圖中將鄰近側壁凹槽88的第一奈米結構52的側壁繪示為垂直的,然而側壁可以也是凹的或凸的。可以使用各向同性蝕刻製程(例如,濕式蝕刻等)來蝕刻側壁。在第一奈米結構52包括諸如矽鍺(SiGe)並且第二奈米結構54包括諸如矽(Si)或碳化矽(SiC)的實施例中,可以使用氫氧化四甲基銨(tetramethylammonium hydroxide, TMAH)、氫氧化銨(NH4 OH)等的乾式蝕刻製程蝕刻第一奈米結構52的側壁。
在第11A圖至第11D圖中,第一內部間隔物90形成在側壁凹槽88中。可以透過在第10A圖至第10C圖所示的結構上沉積內部間隔物層(未單獨繪示)來形成第一內部間隔物90。可以透過諸如化學氣相沉積、原子層沉積等的保形沉積製程來沉積內部間隔物層。內部隔離層可以包括諸如氮化矽或氮氧化矽的材料,然而可以利用諸如介電常數值小於約3.5的低介電常數(low-k)材料之任何合適的材料。可以透過諸如反應性離子蝕刻、中性束蝕刻等的各向異性蝕刻製程來蝕刻內部間隔物層以形成第一內部間隔物90。儘管第一內部間隔物90的外側壁被繪示為與第二奈米結構54的側壁齊平,然而第一內部間隔物90的外側壁可以延伸超過第二奈米結構54的側壁或從第二奈米結構54的側壁凹入。此外,儘管在第11C圖中將第一內部間隔物90的外側壁繪示為直的,但是第一內部間隔物90的外側壁中可以是凹的或凸的。作為示例,第11D圖的實施例繪示其中第一奈米結構52的側壁是凹的,第一內部間隔物90的外側壁是凹的,並且第一內部間隔物從第二奈米結構54的側壁凹入。
第一內部間隔物90作為隨後形成的源極/汲極區域(例如,以下關於第14A圖至第14F圖所討論之磊晶源極/汲極區域92)與隨後形成的閘極結構(例如,以下關於第19A圖至第19C圖所討論之包括閘極介電層100和閘極102的結構閘極)之間的隔離特徵。如將在下面更詳細地討論的,源極/汲極區域將形成在第一凹槽86中,而第一奈米結構52將被相應的閘極結構代替。第一內部間隔物90還可在隨後的蝕刻製程(例如,用於形成閘極結構的蝕刻製程)中防止對源極/汲極區域的損壞。
在第12A圖至第12C圖中,分別在第11A圖至第11C圖所示的結構上方形成第一圖案化的硬遮罩層84和第一圖案化的光阻層85。第一圖案化的硬遮罩層84和第一圖案化的光阻層85可以作為用於蝕刻第二基板50C以在第二基板50C的選定區域中延伸第一凹槽86的遮罩。在部分實施例中,第二基板50C的選定區域可以對應於隨後形成的背面源極/汲極接觸(例如,下面關於第35A圖至第35D圖所討論的背面通孔144)的位置。第一硬遮罩層(未單獨繪示)可以沉積在遮罩78和淺溝槽隔離區域68的頂表面上;在第一間隔物81、第二間隔物83和第二基板50C的頂表面和側壁上;以及在第一內部間隔物90和第二奈米結構54的側壁上。第一硬遮罩層可以透過化學氣相沉積、原子層沉積等沉積。第一硬遮罩層可以包括氧化矽、氮化矽、碳化矽、非晶矽、氮化鈦、氧氮化矽、碳氮化矽、其組合或多層等。
然後可以在第一硬遮罩層上方沉積第一光阻層(未單獨繪示)。可以使用旋塗或類似方法沉積第一光阻層。然後可以透過將光阻層暴露於圖案化的能量源(例如,圖案化的光源)並顯影第一光阻層以去除第一光阻層之暴露或未暴露的部分來圖案化第一光阻層,從而形成第一圖案化的光阻層85。可以透過諸如各向異性刻蝕製程之適當的刻蝕製程來刻蝕硬遮罩層,以將第一圖案化的光阻層85的圖案轉移到第一硬遮罩層上,從而形成第一圖案化的硬遮罩層84。在部分實施例中,蝕刻製程可以包括反應性離子蝕刻、中性束蝕刻等或其組合。
進一步在第12A圖至第12C圖中,使用第一圖案化的光阻層85和第一圖案化的硬遮罩層84作為遮罩以蝕刻第二基板50C。可以蝕刻第二基板50C以延伸第一凹槽86,從而形成第二凹槽87。隨後將在第二凹槽87中形成犧牲材料,並隨後用背面通孔代替犧牲材料。第二凹槽87可以在第一凹槽86的底表面下方延伸深度D1 到第二基板50C中。在部分實施例中,深度D1 可以是從大約15 nm到大約50 nm。如第12B圖和第12C圖所示,第二基板50C的至少一部分可以保留在第二凹槽87下方,在第二凹槽87和第一絕緣層50B之間。如第12B圖所示,第二凹槽87可以延伸穿過鰭片66。第二凹槽87可以透過使用諸如反應性離子蝕刻、中性束蝕刻等的各向異性蝕刻製程來蝕刻鰭片66和第二基板50C而形成。可以使用單個蝕刻製程或多個蝕刻製程來蝕刻鰭片66和/或第二基板50C。在第二凹槽87達到期望的深度之後,可以使用定時蝕刻製程來停止對第二凹槽87的蝕刻。
在第13A圖至第13C圖中,在第二凹槽87中形成第一磊晶材料91(亦可稱之為磊晶半導體材料)。在部分實施例中,第一磊晶材料91可以是犧牲材料,隨後將其去除以形成背面通孔(例如,在下面關於第35A圖至第35D圖所討論之背面通孔144)。如第13B圖和第13C圖所示,第一磊晶材料91的頂表面可以與第一凹槽86的底表面齊平。然而,在部分實施例中,第一磊晶材料91的頂表面可以設置在第一凹槽86的底表面之上或之下。第一磊晶材料91可以使用諸如化學氣相沉積、原子層沉積、氣相磊晶、分子束磊晶等的製程磊晶生長在第二凹槽87中。第一磊晶材料91可以包括任何可接受的材料(例如,矽鍺等)。在第一磊晶材料91包括矽鍺的實施例中,第一磊晶材料91中的鍺濃度可以大於隨後形成的源極/汲極區域(例如,將在第14A圖至第14F圖中討論的磊晶源極/汲極區域92)中的鍺濃度。所提供之第一磊晶材料91的鍺濃度比磊晶源極/汲極區域的鍺濃度高,以允許在後續的製程步驟中相對於第一磊晶材料91選擇性地蝕刻基板50。此外,所提供之第一磊晶材料91的鍺濃度比磊晶源極/汲極區域的鍺濃度高還可以允許相對於隨後形成的源極/汲極區域和隨後形成的介電層(例如,在下面分別關於第28A圖至第28D圖、第32A圖至第32G圖和第34A圖至第34D圖所討論的第三間隔物128、第四層間介電質136和第五層間介電質140)選擇性地蝕刻第一磊晶材料91。
第一磊晶材料91可以由對隨後形成的磊晶源極/汲極區域(例如,下面關於第14A圖至第14F圖所討論之磊晶源極/汲極區域92)和介電層(例如,下面關於第32A圖至第32G圖所討論之第四層間介電質136,以及下面關於第28A圖至第28D圖所討論之第三間隔物128)的材料具有高蝕刻選擇性的材料形成。如此,可以在不會顯著地去除磊晶源極/汲極區域和層間介電質的情況下去除第一磊晶材料91並用背面通孔代替。
進一步在第13A圖至第13C圖中,可以去除第一圖案化的光阻層85。可以透過任何可接受的製程(例如,灰化製程、剝離製程等或其組合)來去除第一圖案化的光阻層85。
在第14A圖至第14F圖中,去除了第一圖案化的硬遮罩層84,並且在第一凹槽86中形成了磊晶源極/汲極區域92。可以透過適當的蝕刻製程(可以是各向同性蝕刻製程,例如,濕式蝕刻製程)去除第一圖案化的硬遮罩層84。此蝕刻製程可以對第一圖案化的硬遮罩層84的材料具有高蝕刻選擇性。如此,可以去除第一圖案化的硬遮罩層84而不會顯著地去除遮罩78、淺溝槽隔離區域68、第二間隔物83、第一間隔物81、鰭片66、第一磊晶材料91、第一內部間隔物90或第二奈米結構54的材料。
在部分實施例中,磊晶源極/汲極區域92可以在第二奈米結構54上施加應力,從而提高性能。如第14C圖所示,在第一凹槽86中形成磊晶源極/汲極區域92,使得每個虛設閘極76設置在各個相鄰的磊晶源極/汲極區域92對之間。磊晶源極/汲極區域92的厚度T1 在約50 nm至約60 nm的範圍內。在部分實施例中,透過適當的橫向距離,第一間隔物81用於將磊晶源極/汲極區域92與虛設閘極76分開,並且第一內部間隔物90用於將磊晶源極/汲極區域92與第一奈米結構52分開,使得磊晶源極/汲極區域92不會與隨後形成之奈米場效應電晶體的閘極短路。
可以透過遮蔽p型區域50P(例如,P型金屬氧化物半導體區域)來形成n型區域50N(例如,N型金屬氧化物半導體區域)中的磊晶源極/汲極區域92。然後,在n型區域50N中的第一凹槽86中磊晶生長磊晶源極/汲極區域92。磊晶源極/汲極區域92可以包括適合於n型奈米場效應電晶體之任何可接受的材料。例如,如果第二奈米結構54是矽,則磊晶源極/汲極區域92可以包括在第二奈米結構54上施加拉伸應變的材料(例如,矽、碳化矽、摻雜磷的碳化矽、磷化矽等)。磊晶源極/汲極區域92可以具有從奈米結構55之相應的上表面凸起的表面,並且可以具有刻面(facet)。
可以透過遮蔽n型區域50N(例如,N型金屬氧化物半導體區域)來形成p型區域50P(例如,P型金屬氧化物半導體區域)中的磊晶源極/汲極區域92。然後,在p型區域50P中的第一凹槽86中磊晶生長磊晶源極/汲極區域92。磊晶源極/汲極區域92可以包括適合於p型奈米場效應電晶體之任何可接受的材料。例如,如果第二奈米結構54是矽,則磊晶源極/汲極區域92可以包括在第二奈米結構54上施加壓縮應變的材料(例如,矽鍺、摻雜硼的矽鍺、鍺、鍺錫等)。磊晶源極/汲極區域92還可以具有從多層堆疊56之相應的表面凸起的表面,並且可以具有刻面。
可以在磊晶源極/汲極區域92、第一奈米結構52、第二奈米結構54和/或第二基板50C中佈植摻雜劑以形成源極/汲極區域(類似於先前討論之用於形成輕度摻雜的源極/汲極區域的製程),然後進行退火。源極/汲極區域的雜質濃度可以在大約1×1019 原子/公分3 至大約1×1021 原子/公分3 之間。用於源極/汲極區域的n型和/或p型雜質可以是先前討論的任何雜質。在部分實施例中,可以在生長期間原位摻雜磊晶源極/汲極區域92。
作為用於在n型區域50N和p型區域50P中形成磊晶源極/汲極區域92的磊晶製程的結果,磊晶源極/汲極區域92的上表面具有橫向地超過奈米結構55的側壁擴展的刻面。如第14B圖所示,在這些實施例中,這些刻面使同一奈米場效應電晶體之相鄰的磊晶源極/汲極區域92接觸或接近接觸。如第14D圖所示,在部分實施例中,這些刻面導致同一個奈米場效應電晶體之相鄰的磊晶源極/汲極區域92合併。如第14E圖所示,在部分實施例中,在磊晶製程完成之後,相鄰的磊晶源極/汲極區域92保持分離。在第14B圖、第14D圖和第14E圖所示的實施例中,可以形成從淺溝槽隔離區域68的頂表面延伸的第一間隔物81,從而阻止磊晶生長。在一些其他實施例中,第一間隔物81可以覆蓋奈米結構55之部分的側壁,從而進一步阻止磊晶生長。在部分實施例中,可以調節用於形成第一間隔物81的蝕刻製程以去除間隔物材料,並允許磊晶源極/汲極區域92延伸到淺溝槽隔離區域68的表面。
磊晶源極/汲極區域92可以包括一個或多個半導體材料層。例如,磊晶源極/汲極區域92可以包括第一半導體材料層92A、第二半導體材料層92B和第三半導體材料層92C。磊晶源極/汲極區域92可以使用任何數量的半導體材料層。第一半導體材料層92A、第二半導體材料層92B和第三半導體材料層92C中的每一個可以由不同的半導體材料形成並且可以被摻雜不同的摻雜劑濃度。在部分實施例中,第一半導體材料層92A可以具有小於第二半導體材料層92B並且大於第三半導體材料層92C的摻雜劑濃度。在磊晶源極/汲極區域92包括三個半導體材料層的實施例中,可以沉積第一半導體材料層92A,可以在第一半導體材料層92A上方沉積第二半導體材料層92B,並且可以在第二半導體材料層92B上方沉積第三半導體材料層92C。
第14F圖繪示一個實施例,其中第一奈米結構52的側壁是凹的,第一內部間隔物90的外側壁是凹的,並且第一內部間隔物90從第二奈米結構54的側壁凹入。如第14F圖所示,可以形成與第一內部間隔物90接觸並且可以延伸超過第二奈米結構54的側壁的磊晶源極/汲極區域92。
在第15A圖至第15C圖中,第一層間介電質(interlayer dielectric, ILD)96分別沉積在第14A圖至第14F圖所示的結構上。第一層間介電質96可以由介電材料形成,並且可以透過諸如化學氣相沉積、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)或可流動化學氣相沉積的任何合適的方法來沉積。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass, PSG)、硼矽酸鹽玻璃(boro-silicate glass, BSG)、摻硼磷矽酸鹽玻璃(boron-doped phospho-silicate glass, BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG)等。可以使用透過任何可接受的製程形成的其他絕緣材料。在部分實施例中,接觸蝕刻停止層(contact etch stop layer, CESL)94設置在第一層間介電質96與磊晶源極/汲極區域92、遮罩78、第一間隔物81、第二間隔物83和淺溝槽隔離區域68之間。接觸蝕刻停止層94可以包括介電材料(例如,氮化矽、氧化矽、氮氧化矽等)。在部分實施例中,接觸蝕刻停止層94可以包括具有與上面的第一層間介電質96的材料不同的蝕刻速率的材料。
在第16A圖至第16C圖中,可以執行諸如化學機械平坦化之類的平坦化製程以使第一層間介電質96的頂表面與虛設閘極76或遮罩78的頂表面齊平。平坦化製程也會去除虛設閘極76上的遮罩78,以及沿著遮罩78的側壁之第一間隔物81的一部分。在平坦化製程之後,虛設閘極76、第一間隔物81和第一層間介電質96的頂表面可以是水平的(在製程變化範圍內)。因此,虛設閘極76的頂表面透過第一層間介電質96被暴露。在部分實施例中,可以保留遮罩78,在這種情況下,平坦化製程會使第一層間介電質96的頂表面與遮罩78和第一間隔物81的頂表面齊平。
在第17A圖至第17C圖中,在一個或多個蝕刻步驟中去除了虛設閘極76和遮罩78(如果存在),從而形成了第二凹槽98。第二凹槽98中之部分的虛設閘極介電質71也被去除。在部分實施例中,透過各向異性乾式蝕刻製程去除虛設閘極76和虛設閘極介電質71。例如,蝕刻製程可以包括使用一種或多種反應氣體的乾式蝕刻製程,其以比蝕刻第一層間介電質96或第一間隔物81更快的速率選擇性地蝕刻虛設閘極76。每個第二凹槽98暴露和/或覆蓋在部分的奈米結構55上,這些部分在隨後完成的奈米場效應電晶體中作為通道區域。作為通道區域之部分的奈米結構55設置在磊晶源極/汲極區域92的相鄰對之間。在去除期間,當蝕刻虛設閘極76時,虛設閘極介電質71可以作為蝕刻停止層。然後可以在去除虛設閘極76之後去除虛設閘極介電質71。
在第18A圖至第18C圖中,去除第一奈米結構52以延伸第二凹槽98。可以透過執行各向同性蝕刻製程(例如,濕式蝕刻等)來去除第一奈米結構52,其使用對第一奈米結構52的材料具有選擇性的蝕刻劑,而與第一奈米結構52相比,第二奈米結構54、第二基板50C、淺溝槽隔離區域58保持相對未被蝕刻。在第一奈米結構52包括諸如矽鍺(SiGe)和第二奈米結構54A至54C包括諸如矽(Si)或碳化矽(SiC)的實施例中,可使用氫氧化四甲基銨(TMAH)、氫氧化銨(NH4 OH)等以去除第一奈米結構52。
在第19A圖至第19C圖中,形成閘極介電層100和閘極102以替換閘極。閘極介電層100保形地沉積在第二凹槽98中。閘極介電層100可以形成在鰭片66的頂表面和側壁上以及在第二奈米結構54的頂表面、側壁和底表面上。閘極介電層100也可以沉積在第一層間介電質96、接觸蝕刻停止層94、第一間隔物81和淺溝槽隔離區域68的頂表面上以及第一內部間隔物90的側壁上。
根據部分實施例,閘極介電層100包括一個或多個介電層(例如,氧化物、金屬氧化物等或其組合)。例如,在部分實施例中,閘極介電層100可以包括氧化矽層和在氧化矽層上方的金屬氧化物層。在部分實施例中,閘極介電層100包括高介電常數介電材料,並且在這些實施例中,閘極介電層100可以具有大於約7.0的介電常數值。閘極介電層100可以包括鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及其組合的金屬氧化物或矽酸鹽。在n型區域50N和p型區域50P中,閘極介電層100的結構可以相同或不同。閘極介電層100的形成方法可以包括分子束沉積(molecular-beam deposition, MBD)、原子層沉積、電漿增強化學氣相沉積等。
閘極102分別沉積在閘極介電層100上方,並填充第二凹槽98的其餘部分。閘極102可以包括含金屬的材料(例如,氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、其組合或多層)。例如,儘管在第19A圖和第19C圖中繪示單層的閘極102,但是閘極102可以包括任意數量的襯墊層、任意數量的功函數調節層和填充材料。可以在n型區域50N和p型區域50P之相鄰的第二奈米結構54之間以及在第二奈米結構54A和第二基板50C之間沉積構成閘極102的層的任何組合。
在n型區域50N和p型區域50P中之閘極介電層100的形成可以同時地發生,使得每個區域中的閘極介電層100由相同的材料形成,並且閘極102的形成可以同時地發生,使得每個區域中的閘極102由相同的材料形成。在部分實施例中,每個區域中的閘極介電層100可以透過不同的製程形成,使得閘極介電層100可以是不同的材料和/或具有不同數量的層,和/或每個區域中的閘極102可以透過不同的製程形成,使得閘極102可以是不同的材料和/或具有不同數量的層。當使用不同的製程時,可以使用各種遮蔽步驟來遮蔽和暴露適當的區域。
在填充第二凹槽98之後,可以執行諸如化學機械平坦化的平坦化製程以去除閘極介電層100的多餘部分(在第一層間介電質96的頂表面上的多餘部分)和閘極102的材料。閘極102和閘極介電層100的材料的其餘部分因而形成所得的奈米場效應電晶體的替換閘極結構。閘極102和閘極介電層100可以被統稱為「閘極結構」。
在第20A圖至第20C圖中,使閘極結構(包括閘極介電層100和相應之上覆的閘極102)凹陷,以便在閘極結構的正上方和在第一間隔物81的相對部分之間形成凹槽。在凹槽中填充包括一層或多層介電材料(例如,氮化矽、氮氧化矽等)的閘極遮罩104,然後進行平坦化製程以去除在第一層間介電質96上方延伸之介電材料的多餘部分。隨後形成的閘極接觸件(例如,下面參考第22A圖至第22C圖討論的閘極接觸114)穿過閘極遮罩104,以接觸凹陷的閘極102的頂表面。
如第20A圖至第20C圖進一步繪示的,第二層間介電質106沉積在第一層間介電質96、閘極遮罩104、第一間隔物81和接觸蝕刻停止層94上方。在部分實施例中,第二層間介電質106是透過可流動化學氣相沉積形成之可流動的膜。在部分實施例中,第二層間介電質106由諸如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃等的介電質材料形成,並且可以透過諸如化學氣相沉積、電漿增強化學氣相沉積等的任何適當方法來沉積。
在第21A圖至第21C圖中,蝕刻第二層間介電質106、第一層間介電質96、接觸蝕刻停止層94和閘極遮罩104以形成第三凹槽108以暴露出磊晶源極/汲極區域92和/或閘極結構的表面。第三凹槽108可以透過使用各向異性蝕刻製程(例如,反應性離子蝕刻、中性束蝕刻等)的蝕刻來形成。在部分實施例中,第三凹槽108的形成可以使用第一蝕刻製程來蝕刻穿過第二層間介電質106和第一層間介電質96;可以使用第二蝕刻製程來蝕刻穿過閘極遮罩104;以及可以使用第三蝕刻製程來蝕刻穿過接觸蝕刻停止層94。可以在第二層間介電質106上形成遮罩(例如,光阻)並將其圖案化,以遮蔽部分的第二層間介電質106,使其免於第一蝕刻製程和第二蝕刻製程。在部分實施例中,蝕刻製程可以過度地蝕刻,因此,第三凹槽108將會延伸到磊晶源極/汲極區域92和/或閘極結構中,並且第三凹槽108的底部可以與磊晶源極/汲極區域92和/或閘極結構的頂面齊平(例如,在相同的水平面上,或與基板具有相同的距離)或低於(例如,較接近基板)磊晶源極/汲極區域92和/或閘極結構的頂面。儘管第21C圖繪示第三凹槽108在相同的橫截面中暴露磊晶源極/汲極區域92和閘極結構,然而在不同的實施例中,可以在不同的橫截面中暴露磊晶源極/汲極區域92和閘極結構,從而降低了隨後形成之接觸件短路的風險。
在形成第三凹槽108之後,在磊晶源極/汲極區域92上方形成矽化物區域110。在部分實施例中,矽化物區域110的形成首先透過沉積能夠與下方的磊晶源極/汲極區域92的半導體材料(例如,矽、矽鍺、鍺等)反應的金屬(未單獨繪示)來形成矽化物或鍺化物區域。金屬可以包括鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他難熔金屬、稀土金屬或其合金。可以將金屬沉積在磊晶源極/汲極區域92之暴露的部分上。然後可以執行熱退火製程以形成矽化物區域110。然後,例如,透過蝕刻製程去除沉積的金屬之未反應的部分。儘管矽化物區域110被稱為矽化物區域,然而矽化物區域110可以是鍺化物區域或矽鍺化物區域(例如,包括矽化物、鍺化物或其組合的區域)。在一個實施例中,矽化物區域110包括鈦矽(TiSi),並且具有範圍從大約2 nm到大約10 nm的厚度。
在第22A圖至第22C圖中,在第三凹槽108中形成源極/汲極接觸112和閘極接觸114(每個源極/汲極接觸112和閘極接觸114可替代地稱為接觸塞)。源極/汲極接觸112和閘極接觸114中的每一個可包括一層或多層(例如,阻擋層、擴散層和填充材料)。例如,在部分實施例中,源極/汲極接觸112和閘極接觸114中的每一個可以包括阻擋層和導電材料,並且可以電耦合到下面的導電特徵(例如,閘極結構和/或矽化物區域110)。閘極接觸114電耦合至閘極102,並且源極/汲極接觸112電耦合至矽化物區域110。阻擋層可以包括鈦、氮化鈦、鉭、氮化鉭等。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可以執行諸如化學機械平坦化的平坦化製程以從第二層間介電質106的表面去除多餘的材料。磊晶源極/汲極區域、第二奈米結構54、閘極結構(包括閘極介電層100和閘極102)可以統稱為電晶體結構(亦可稱之為裝置層109)。
儘管第22A圖至第22C圖繪示延伸到每個磊晶源極/汲極區域92的源極/汲極接觸112,然而可以在部分的磊晶源極/汲極區域92中省略源極/汲極接觸112。如下面更詳細地解釋的,隨後可以透過一個或多個磊晶源極/汲極區域92的背面附接背面通孔(例如,電源線(power rails))。對於這些特定的磊晶源極/汲極區域92,源極/汲極接觸112可以被省略或者源極/汲極接觸112可以是不電連接到任何上覆的導線的虛設接觸。
第23A圖至第38C圖繪示在裝置層109上形成正面和背面互連結構的中間步驟。正面和背面互連結構可各自包括電連接到形成在裝置層109中的奈米場效應電晶體中的導電元件。在第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖、第30A圖、第31A圖、第31E圖、第32A圖、第32E圖、第32G圖、第33A圖、第34A圖、第35A圖、第36A圖、第37A圖和第38A圖中繪示沿第1圖的線A-A'截取的橫截面。在第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖、第30E圖、第31B圖、第32B圖、第32F圖、第33B圖、第34B圖、第35B圖、第36B圖、第37B圖和第38B圖中繪示沿第1圖的線B-B'截取的橫截面。在第23C圖、第24C圖、第25C圖、第26C圖、第27C圖、第28C圖、第29C圖、第30C圖、第31C圖、第32C圖、第33C圖、第34C圖、第35C圖、第36C圖、第37C圖和第38C圖中繪示沿第1圖的線C-C'截取的橫截面。在第25D圖、第26D圖、第27D圖、第28D圖、第29D圖、第30D圖、第31D圖、第32D圖、第33D圖、第34D圖和第35D圖中繪示後視圖。在第23A圖至第38C圖中描述的製程步驟可以應用於n型區域50N和p型區域50P。此外,如上所述,背面通孔(例如,電源線)可以連接到一個或多個磊晶源極/汲極區域92。如此,可以可選地從磊晶源極/汲極區域92中省略正面源極/汲極接觸112。
在第23A圖至第23C圖中,正面互連結構120形成在第二層間介電質106上。正面互連結構120可以被稱為正面互連結構,因為它形成在絕緣體上矽基板50的正面上(例如,在絕緣體上矽基板50之具有主動裝置的那一側上)。正面互連結構120可以包括在一個或多個堆疊的第一介電層124中形成的一層或多層導電特徵122。堆疊的第一介電層124中的每一個可以包括介電質材料(例如,低介電質常數介電質材料、超低介電質常數(extra low-k, ELK)介電材料等)。可以使用諸如化學氣相沉積、原子層沉積、物理氣相沉積、電漿增強化學氣相沉積等的適當製程來沉積第一介電層124。
導電特徵122可以包括導線和使導線的各個層相互連接的導電通孔。導電通孔可以延伸穿過相應的第一介電層124,以在導線的各個層之間提供垂直連接。可以透過任何可接受的製程(例如,鑲嵌製程、雙鑲嵌製程等)來形成導電特徵122。
在部分實施例中,可以使用鑲嵌製程來形成導電特徵122,其中,利用微影和蝕刻技術的組合對相應的第一介電層124進行圖案化以形成與導電特徵122的期望圖案相對應的溝槽。可以在溝槽中沉積可選的擴散阻擋層和/或可選的黏著層,然後可以用導電材料填充溝槽。用於阻擋層的合適材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、氧化鈦或其他替代物。用於導電材料的合適材料包括銅、銀、金、鎢、鋁或其組合等。在一個實施例中,可以透過沉積銅或銅合金的種子層,並使用電鍍填充溝槽來形成導電特徵122。化學機械平坦化製程等可以用於從相應的第一介電層124的表面去除過量的導電材料,並且平坦化導電特徵122和第一介電層124的表面以用於後續的製程。
第23A圖至第23C圖繪示五層的導電特徵122和第一介電層124。然而,應當理解,正面互連結構120可以包括配置在任意數量的第一介電層124中之任意數量的導電特徵122。正面互連結構120可以電連接到閘極接觸114和源極/汲極接觸112以形成功能性電路。在部分實施例中,由正面互連結構120形成的功能性電路可以包括邏輯電路、記憶體電路、圖像感測器電路等。
在第24A圖至第24C圖中,透過第一結合層152A和第二結合層152B(統稱為結合層152)將載體基板150結合到正面互連結構120的頂表面。載體基板150可以是玻璃載體基板、陶瓷載體基板、晶片(例如,矽晶片)等。載體基板150可以在隨後的製程步驟期間以及在完成的裝置中提供結構支撐。
在部分實施例中,可以使用諸如介電質對介電質結合(dielectric-to-dielectric bonding)等合適的技術將載體基板150結合至正面互連結構120。介電質對介電質結合可以包括在正面互連結構120上沉積第一結合層152A。在部分實施例中,第一結合層152A包括氧化矽(例如,高密度電漿(high density plasma, HDP)氧化物等),其透過化學氣相沉積、原子層沉積、物理氣相沉積等沉積。第二結合層152B可以是在使用諸如化學氣相沉積、原子層沉積、物理氣相沉積、熱氧化等進行結合之前形成在載體基板150的表面上的氧化物層。第一結合層152A和第二結合層152B也可以使用其他合適的材料。
介電質對介電質結合製程可以進一步包括對一個或多個結合層152進行表面處理。表面處理可以包括電漿處理。電漿處理可以在真空環境中進行。在電漿處理之後,表面處理可以進一步包括對一個或多個結合層152的清潔製程(例如,用去離子水等沖洗)。然後使載體基板150與正面互連結構120對齊,然後相互壓緊兩者以啟動載體基板150到正面互連結構120的預結合。此預結合可以在室溫下(例如,在大約21°C至大約25°C之間)進行。在預結合之後,可以使用退火製程(例如,透過將正面互連結構120和載體基板150加熱到約170°C至約500°C的溫度)。
如第24A圖至第24C圖進一步所示,在將載體基板150結合到正面互連結構120之後,可以翻轉此裝置,使得絕緣體上矽基板50的背面朝上。絕緣體上矽基板50的背面可以指與在其上形成有主動裝置之絕緣體上矽基板50的正面相對的一側。
在第25A圖至第25D圖中,對基板50的背面實施修整製程(trimming process)。此修整製程可以包括平坦化製程(例如,機械研磨、化學機械平坦化)等)、蝕刻製程或其組合等。修整製程可以去除第一基板50A、第一絕緣層50B和第二基板50C的一部分,並暴露第一磊晶材料91、鰭片66、第二基板50C和淺溝槽隔離區域68之與正面互連結構120相對的表面。此外,在修整製程之後,第二基板50C的一部分可以保留在閘極結構(例如,閘極102和閘極介電層100)和奈米結構55之上。在修整製程之後,第二基板50C可以在磊晶源極/汲極區域92上具有在大約30 nm至大約40 nm範圍內的厚度T2
第25D圖繪示在修整製程之後的後視圖,其中閘極介電層100和閘極被繪示為虛線。如第25D圖所示,第一磊晶材料91可以在相鄰之閘極102的閘極介電層100之間。可以在沿著鰭片66的長度之不同的位置處形成第一磊晶材料91以代替部分的鰭片66。儘管在沿著每個鰭片66的長度繪示單個第一磊晶材料91,然而可以在每個鰭片66中設置任意數量的第一磊晶材料91。
在第26A圖至第26D圖中,部分的鰭片66和第一磊晶材料91被覆蓋層126代替。可以使用適當的蝕刻製程(例如,各向同性(例如,濕式刻蝕製程)、各向異性刻蝕製程(例如,乾式刻蝕製程)、多種製程或其組合等)去除部分的鰭片66和第一磊晶材料91,以在淺溝槽隔離區域68中定義開口。刻蝕製程可以是定時刻蝕製程以便控制要被去除的鰭片66和第一磊晶材料91的材料的量。
然後可以在透過去除部分的鰭片66和第一磊晶材料91而限定的開口中沉積覆蓋層126。可以透過化學氣相沉積、原子層沉積、物理氣相沉積等沉積覆蓋層126。覆蓋層126可以用於保護鰭片66和第一磊晶材料91的剩餘部分免受隨後的蝕刻製程(例如,用於切割磊晶源極/汲極區域92的蝕刻製程(下面參考第29A圖至第29D圖的討論)和用於切割閘極結構的製程(下面參考第31A圖至第31E圖的討論))的影響。覆蓋層126可以包括氧化矽、氮化矽、氮氧化矽等。
在第27A圖至第27D圖中,去除了淺溝槽隔離區域68、第一間隔物81、第二間隔物83以及部分的接觸蝕刻停止層94和第一層間介電質96以形成第四凹槽127。然後去除淺溝槽隔離區域68、第一間隔物81、第二間隔物83以及部分的接觸蝕刻停止層94和第一層間介電質96。可以使用諸如各向同性蝕刻製程的合適蝕刻製程來去除淺溝槽隔離區域68、第一間隔物81、第二間隔物83以及部分的接觸蝕刻停止層94和第一層間介電質96。在部分實施例中,可以透過一個或多個濕式蝕刻製程來去除淺溝槽隔離區域68、第一間隔物81、第二間隔物83以及部分的接觸蝕刻停止層94和第一層間介電質96。當第四凹槽127達到期望的深度時,可以使用定時刻蝕製程來停止對淺溝槽隔離區域68、第一間隔物81、第二間隔物83、接觸蝕刻停止層94和第一層間介電質96的刻蝕。
如第27B圖所示,定時刻蝕製程可以刻蝕淺溝槽隔離區域68、第一間隔物81、第二間隔物83、接觸蝕刻停止層94和第一層間介電質96,以使第四凹槽127的底表面(由接觸蝕刻停止層94和第一層間介電質96的頂表面定義)與磊晶源極/汲極區域92的底表面齊平。蝕刻淺溝槽隔離區域68、第一間隔物81、第二間隔物83、接觸蝕刻停止層94和第一層間介電質96,使得第四凹槽127的底表面與磊晶源極/汲極區域92的底表面齊平,從而暴露磊晶源極/汲極區域92的側表面,而不暴露源極/汲極接觸112,這將允許在不蝕刻源極/汲極接觸112的情況下執行用於切割磊晶源極/汲極區域92(參考以下第29A圖至第29D圖的討論)的後續蝕刻製程。在部分實施例中,第四凹槽127的底表面可以設置在磊晶源極/汲極區域92的底表面之上或之下。
在第28A圖至第28D圖中,沿著鰭片66、第一磊晶材料91、覆蓋層126和磊晶源極/汲極區域92的側壁形成第三間隔物128。可以在第27A圖至第27D圖所示的結構上沉積第三間隔物層(未單獨繪示)。可以透過化學氣相沉積、原子層沉積、物理氣相沉積等沉積第三間隔物層。第三間隔物層可以包括氧化矽、氮化矽、氮氧化矽等。
然後,可以透過使用諸如各向異性蝕刻製程之合適的蝕刻製程來蝕刻第三間隔物層來形成第三間隔物128。第三間隔物128可以具有從大約1 nm到大約10 nm的厚度。第三間隔物128可以沿著覆蓋層126、鰭片66、第一磊晶材料91和磊晶源極/汲極區域92的垂直側壁延伸,而磊晶源極/汲極區域92的刻面部分被第三間隔物128暴露。如將在下面參考第29A圖至第29D圖更詳細地討論的,第三間隔物128可以作為隨後用於切割磊晶源極/汲極區域92的蝕刻製程的遮罩,並且可以保護鰭片66、第一磊晶材料91,以及部分的磊晶源極/汲極區域92免於蝕刻製程。
在第29A圖至第29D圖中,使用覆蓋層126和第三間隔物128作為遮罩來修整部分的磊晶源極/汲極區域92。例如,可以去除橫向地延伸超過第三間隔物128的磊晶源極/汲極區域92的區域。磊晶源極/汲極區域92可以透過諸如各向異性蝕刻製程的適當蝕刻製程來修整。在部分實施例中,可以使用反應性離子蝕刻、中性束蝕刻或其組合等來修整磊晶源極/汲極區域92。用於修整磊晶源極/汲極區域92的上述製程是自對準製程,其允許以比傳統製程更小的間距和更高的精度來圖案化磊晶源極/汲極區域92。從第二基板50C的背面修整磊晶源極/汲極區域92可以允許鰭片66和磊晶源極/汲極區域92以更緊密的間距形成,同時防止相鄰的磊晶源極/汲極區域92之間之不期望的橋接。如此可以減少裝置缺陷、增加裝置密度並改善裝置性能。修整磊晶源極/汲極區域92也可以減小磊晶源極/汲極區域92的尺寸,這將減小寄生電容。
在第30A圖至第30E圖中,在第一層間介電質96和接觸蝕刻停止層94上方的第四凹槽127中並沿著第三間隔物128和磊晶源極/汲極區域92的側壁形成第三層間介電質130。第三層間介電質130的介電材料可以是諸如氮化矽、氧化矽、氮氧化矽、低介電常數介電材料、它們的組合等的介電材料。第三層間介電質130可以透過諸如化學氣相沉積、物理氣相沉積、原子層沉積、其組合等的製程來沉積。在部分實施例中,第三層間介電質130是透過可流動化學氣相沉積形成的可流動膜。在部分實施例中,第三層間介電質130由諸如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃等的介電材料形成。
在部分實施例中,第三層間介電質130可以沉積在覆蓋層126和第三間隔物128上方,然後被凹陷使得鰭片66、第一磊晶材料91、覆蓋層126和部分的第三間隔物128從第三層間介電質130之相鄰的部分之間延伸。第三層間介電質130可以透過平坦化製程接著蝕刻製程而被凹陷。平坦化製程可以包括化學機械平坦化、回蝕刻製程、其組合等。蝕刻製程可以是選擇性蝕刻製程(例如,以比蝕刻第三間隔物128、覆蓋層126或閘極介電層100的材料更快的速率蝕刻第三層間介電質130的材料的製程)。
在部分實施例中,第三層間介電質130可以是可選的。例如,第30E圖繪示其中未形成第三層間介電質130的實施例。相反地,如將在下面更詳細地討論的,可以在磊晶源極/汲極區域92附近形成氣隙(例如,下面關於第32F圖所討論的第二氣隙137)。
在第31A圖至第31E圖中,在第30A圖至第30D圖所示的結構上方形成第二圖案化的硬遮罩132。第二圖案化的硬遮罩132可以作為用於蝕刻閘極結構(包括閘極介電層100和閘極102)的遮罩。可以在閘極介電層100、覆蓋層126和第三層間介電質130的頂表面上;以及在第三間隔物128頂表面和側壁上沉積第二硬遮罩層(未單獨繪示)。可以透過化學氣相沉積、原子層沉積等沉積第二硬遮罩層。第二硬遮罩層可以由氧化矽、氮化矽、碳化矽、非晶矽、氮化鈦、氧氮化矽、碳氮化矽、其組合或多層等形成。
然後,可以透過在第二硬遮罩層上方形成第二圖案化的光阻層(未單獨繪示),並使用第二圖案化的光阻層作為遮罩來圖案化第二硬遮罩層,從而形成第二圖案化的硬遮罩132。可以使用旋塗法等沉積第二光阻層。然後可以透過將第二光阻層暴露於圖案化的能量源(例如,圖案化的光源)並顯影第二光阻層以去除第二光阻層之暴露或未暴露的部分來圖案化第二光阻層,從而形成第二圖案化的光阻層。可以透過諸如各向異性蝕刻製程之類的適當蝕刻製程來蝕刻第二硬遮罩層,以將第二圖案化的光阻層的圖案轉移至第二硬遮罩層,從而形成第二圖案化的硬遮罩132。在部分實施例中,刻蝕製程可以包括反應性離子蝕刻、中性束蝕刻等或者其組合。
進一步在第31A圖至第31E圖中,使用第二圖案化的硬遮罩132、第三間隔物128和覆蓋層126作為遮罩來蝕刻閘極結構中的第五凹槽134。如第31A所示,第五凹槽134可以完全地延伸穿過閘極結構的閘極介電層100和閘極102。第五凹槽134可具有約2至約10的高寬比(例如,高度與寬度的比)。在部分實施例中,第五凹槽134還可至少部分地延伸穿過閘極遮罩104。例如,在部分實施例中,第五凹槽134可以延伸到閘極遮罩104中3 nm 到20 nm。如第31A圖進一步所示,至少一部分的閘極102可以保留在設置在第二奈米結構54上的閘極介電層100之間。儘管將第五凹槽134的側壁繪示為垂直的,但是在部分實施例中,第五凹槽134可在閘極結構中具有漸縮的輪廓,其隨著第五凹槽134延伸穿過閘極結構而具有減小的寬度。例如,第五凹槽134的寬度可以在朝著正面互連結構120的方向上逐漸減小。
上述用於蝕刻閘極結構的製程是自對準製程,其允許以比傳統製程更小的間距和更高的精度對閘極結構進行圖案化。在自對準製程中,第五凹槽134可以具有從第三間隔物128的背面穿過閘極結構延伸到閘極遮罩104中的連續側壁。在部分實施例中,可以在鰭片66以大約20 nm至大約50 nm的間距間隔開的結構上執行自對準製程。從第二基板50C的背面蝕刻閘極結構可以允許以更緊密的間距形成鰭片66。因此,上述製程可以減少裝置缺陷、增加裝置密度並改善裝置性能。此外,用於蝕刻閘極結構的常規製程會增加閘極102的高度以代替在蝕刻閘極結構期間第一層間介電質96的蝕刻損失。根據自對準背面製程對閘極結構進行的蝕刻可防止對第一層間介電質96的蝕刻,並且允許以較低的高度形成閘極102,這將可降低成本。
第31E圖繪示一個實施例,其中將鰭片66進一步間隔開,並且透過非自對準的製程來蝕刻閘極結構。例如,鰭片66可以以約40 nm至約100 nm範圍內的間距間隔開。用於蝕刻閘極介電層100和閘極102的製程可以與上述製程相同,除了僅將第二圖案化的硬遮罩132作為遮罩,而不是使用第二圖案化的硬遮罩132加上覆蓋層126和第三間隔物128作為遮罩。如第31E圖所示,第五凹槽134的寬度W1 可以小於設置在相鄰的鰭片66上的第三間隔物128之間的寬度W2 。例如,寬度W1 可以為約20 nm至約80 nm,而寬度W2 可以為約30 nm至約90 nm。用非自對準的製程蝕刻閘極結構允許蝕刻任何的閘極結構,而不管相鄰的鰭片66之間的間隔是如何。然而,使用非自對準製程之閘極結構的蝕刻精度比使用自對準製程的精度低,並且取決於用於圖案化第二圖案化的硬遮罩132的微影製程的精度。
在第32A圖至第32G圖中,去除了第二圖案化的硬遮罩132,並且在第五凹槽134中、在閘極介電層100的頂表面上方、在淺溝槽隔離區域68上方以及在第三間隔物128的周圍側壁中形成第四層間介電質136。可以透過適當的蝕刻製程去除第二圖案化的硬遮罩132,此適當的蝕刻製程可以是各向同性蝕刻製程(例如,濕式蝕刻製程)。蝕刻製程可以對第二圖案化的硬遮罩132的材料具有高蝕刻選擇性。如此,可以去除第二圖案化的硬遮罩132而不會顯著地去除覆蓋層126、第三間隔物128、閘極介電層100、閘極102或第三層間介電質130的材料。
然後可以沉積第四層間介電質136,以使其填充延伸穿過閘極結構之部分的第五凹槽134,並使其在閘極介電層100、覆蓋層126、第三層間介電質130和第三間隔物128上方。第四層間介電質136可以是介電材料(例如,氮化矽、氧化矽、氮氧化矽、低介電常數介電材料、這些的組合等)。第四層間介電質136可以透過諸如化學氣相沉積、物理氣相沉積、原子層沉積、其組合等的製程來沉積。在部分實施例中,第四層間介電質136是透過可流動化學氣相沉積形成的可流動膜。在部分實施例中,第四層間介電質136可以由諸如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃等的介電材料形成。在部分實施例中,可以沉積第四層間介電質136,然後對其進行平坦化以暴露覆蓋層126和第三間隔物128的頂表面。可以透過化學機械平坦化、回蝕製程或其組合等,將第四層間介電質136平坦化。
第32E圖至第32G圖繪示根據各種實施例的第四層間介電質136。在第32E圖至第32G圖所示的實施例中,在與閘極102相鄰的第五凹槽134中形成第一氣隙135。如第32E圖至第32G圖所示,可以形成第四層間介電質136,此第四層間介電質136延伸跨過第一氣隙135的背面,並且可以密封第一氣隙135。在部分實施例中,第四層間介電質136的一些材料可以沉積在第五凹槽134中,然後使其緊縮以密封第一氣隙135。在部分實施例中,第一氣隙135實質上沒有第四層間介電質136的材料。沉積在第五凹槽134中或以其他方式包圍第一氣隙135之第四層間介電質136的材料可以具有平坦的表面、凹入的表面、凸出的表面等。可以透過物理氣相沉積、化學氣相沉積、原子層沉積等來沉積第四層間介電質136。在部分實施例中,第一氣隙135可以在第三間隔物128之間延伸。第一氣隙135可以具有比第四層間介電質136低的介電常數。如此,在閘極102的相鄰部分之間形成第一氣隙135可以減小寄生電容(例如,在閘極102的相鄰部分之間的電容),這將可改善裝置性能。
在第32F圖所示的實施例中,形成與磊晶源極/汲極區域92相鄰的第二氣隙137。如第32F圖所示,可以形成延伸橫跨第二氣隙137的背面的第四層間介電質136,並且第四層間介電質136可以密封第二氣隙137。在部分實施例中,第四層間介電質136的一些材料可以沉積在磊晶源極/汲極區域92、第一層間介電質96和鄰近磊晶源極/汲極區域92的接觸蝕刻停止層94上,然後使其緊縮以密封第二氣隙137。在部分實施例中,第二氣隙137實質上不包含第四層間介電質136的材料。第四層間介電質136的材料沉積在磊晶源極/汲極區域92附近或以其他方式包圍第二氣隙137,其表面可以是平坦的表面、凹入的表面面、凸出的表面等。可以透過物理氣相沉積、化學氣相沉積、原子層沉積等來沉積第四層間介電質136。第二氣隙137可以具有比第四層間介電質136低的介電常數。如此,在磊晶源極/汲極區域92附近形成第二氣隙137可以減小寄生電容(例如,在磊晶源極/汲極區域92和閘極102之間的電容),從而提高裝置性能。
在第33A圖至第33D圖中,去除了覆蓋層126、鰭片66和第二基板50C。可以透過平坦化製程(例如,化學機械平坦化、回蝕製程等)來去除覆蓋層126。在平坦化製程之後,第四層間介電質136、鰭片66、第二基板50C和第一磊晶材料91的背面可以彼此齊平。
然後,去除鰭片66和第二基板50C以形成第六凹槽138。然後可以透過合適的蝕刻製程去除鰭片66和第二基板50C,此蝕刻製程可以是各向同性蝕刻製程(例如,濕式蝕刻製程)。蝕刻製程可以對鰭片66和第二基板50C的材料具有高蝕刻選擇性。如此,可以在不會顯著地去除第四層間介電質136、第一磊晶材料91、第三間隔物128、磊晶源極/汲極區域92或閘極介電層100的材料的情況下去除鰭片66和第二基板50C。
在第34A圖至第34D圖中,第五層間介電質140形成在第六凹槽138中。可以沉積第五層間介電質140以填充與第一磊晶材料91和第三間隔物128相鄰的第六凹槽138。第五層間介電質140可以是介電材料(例如,氮化矽、氧化矽、氮氧化矽、低介電常數介電材料、它們的組合等)。可以透過諸如化學氣相沉積、物理氣相沉積、原子層沉積或其組合等的製程來沉積第五層間介電質140。在部分實施例中,第五層間介電質140是透過可流動化學氣相沉積形成的可流動膜。在部分實施例中,第五層間介電質140可以由諸如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃等的介電材料形成。在部分實施例中,可以沉積第五層間介電質140,然後對其進行平坦化以暴露出第三間隔物128和第一磊晶材料91的頂表面。可以透過化學機械平坦化、回蝕製程或其組合等來平坦化第五層間介電質140。
在第35A圖至第35D圖中,第一磊晶材料91被背面通孔144代替。可以透過適當的蝕刻製程去除第一磊晶材料91,此蝕刻製程可以是各向同性蝕刻製程(例如,濕式蝕刻製程)。蝕刻製程可以對第一磊晶材料91的材料具有高蝕刻選擇性。如此,可以在不會顯著地去除第四層間介電質136、第三間隔物128、磊晶源極/汲極區域92或第五層間介電質140的材料的情況下去除第一磊晶材料91。
然後,在透過去除第一磊晶材料91而形成的凹槽中形成背面通孔144。背面通孔144可以包括一層或多層(例如,阻擋層、擴散層和填充材料)。例如,在部分實施例中,背面通孔144可以包括阻擋層142和導電材料(標記為背面通孔144),並且可以電耦合至下面的導電特徵(例如,磊晶源極/汲極區域92)。背面通孔144電耦合到磊晶源極/汲極區域92。阻擋層142可以包括鈦、氮化鈦、鉭、氮化鉭等。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可以執行諸如化學機械平坦化的平坦化製程以從第四層間介電質136、第五層間介電質140和第三間隔物128的表面去除多餘的材料。在部分實施例中,可以在形成背面通孔144之前,在透過去除第一磊晶半導體材料而形成的凹槽中形成矽化物區域(其可以與上述關於第21A圖至第21C圖所討論的矽化物區域110相似或相同)。
在第36A圖至第36C圖中,在第四層間介電質136、第五層間介電質140、第三間隔物128和背面通孔144上方形成導線154和第二介電層153。第二介電層153可以與第二層間介電質106相似。例如,第二介電層153可以由類似第二層間介電質106的材料形成並且使用類似第二層間介電質106的製程形成。
導線154形成在第二介電層153中。導線154可以被稱為電源線。形成導線154可以包括在第二介電層153中圖案化(例如,使用微影和蝕刻製程的組合)凹槽。第二介電層153中之凹槽的圖案可以對應於導線154的圖案。然後,透過在凹槽中沉積導電材料來形成導線154。在部分實施例中,導線154可以包括電源線,此電源線包括金屬層,此金屬層可以是單層或包括由不同的材料形成之多個子層的複合層。在部分實施例中,導線154包括銅、鋁、鈷、鎢、鈦、鉭、釕等。在用導電材料填充凹槽之前,可以沉積可選的擴散阻擋層和/或可選的黏著層。用於阻擋層/黏著層之合適的材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、氧化鈦等。可以使用例如化學氣相沉積、原子層沉積、物理氣相沉積、電鍍等形成導線154。導線154透過背面通孔144物理地和電地耦合到磊晶源極/汲極區域92。可以執行平坦化製程(例如,化學機械平坦化、研磨、回蝕刻等)以去在第二介電層153上方形成的導線154之過量的部分。
在部分實施例中,導線154是電源線,其是將磊晶源極/汲極區域92電連接到參考電壓、電源電壓等的導線。透過將電源線放置在所得半導體晶粒的背面而不是放置在半導體晶粒的正面可以實現益處。例如,可以增加奈米場效應電晶體的閘極密度和/或正面互連結構120的互連密度。此外,半導體晶粒的背面可容納更寬的電源線,從而減小了電阻並提高了向奈米場效應電晶體的功率傳輸效率。例如,導線154的寬度可以是正面互連結構120的第一級導線(例如,第36C圖所示的導線122A)的寬度的至少兩倍。
在第37A圖至第37C圖中,在第二介電層153和導線154上形成背面互連結構156的其餘部分。背面互連結構156的其餘部分可以包括形成在第三介電層158中的導電特徵160。背面互連結構156可以包括第四層間介電質136、第五層間介電質140、第三間隔物128、背面通孔144、第二介電層153、導線154、導電特徵160和第三介電層158。背面互連結構156的其餘部分可以類似於正面互連結構120。例如,背面互連結構156可以包括與正面互連結構120相似的材料,並使用與正面互連結構120類似的製程形成。特別地,背面互連結構156可以包括形成在第三介電層158中的導電特徵160的堆疊層。導電特徵160可以包括佈線(例如,用於與隨後形成的接觸墊和外部連接器之間的佈線)。可以將導電特徵160進一步圖案化以包括一個或多個嵌入式被動裝置(例如,電阻器、電容器、電感器等)。嵌入式被動裝置可以與導線154(例如,電源線)整合在一起,以在奈米場效應電晶體的背面上提供電路(例如,電源電路)。
在第38A圖至第38C圖中,鈍化層164、凸塊下金屬層166和外部連接器168形成在背面互連結構156上。鈍化層164可以包括聚合物(例如,聚苯噁唑(PBO)、聚醯亞胺(polyimide)、苯並環丁烯(BCB)等)。替代地,鈍化層164可以包括非有機介電材料(例如,氧化矽、氮化矽、碳化矽、氮氧化矽等)。鈍化層164可以透過例如化學氣相沉積、物理氣相沉積、原子層沉積等沉積。
形成穿過鈍化層164到背面互連結構156中的導電特徵160的凸塊下金屬層166,並且在凸塊下金屬層166上形成外部連接器168。凸塊下金屬層166可以包括一層或多層透過電鍍處理等形成的銅、鎳、金等。外部連接器168(例如,焊料球(solder balls))形成在凸塊下金屬層166上。外部連接器168的形成可以包括將焊料球放置在凸塊下金屬層166的暴露部分上,然後使焊料球回流。在替代實施例中,外部連接器168的形成包括執行電鍍步驟以在最頂部的導電特徵160上方形成焊料區域,然後使焊料區域回流。凸塊下金屬層166和外部連接器168可以用於提供到其他電氣元件的輸入/輸出連接,所述之其他電氣元件諸如其他的裝置晶粒、重新分佈結構、印刷電路板(printed circuit boards, PCB)、母板等。凸塊下金屬層166和外部連接器168也可以被稱為背面輸入/輸出焊盤(backside input/output pads),其可以提供到上述之奈米場效應電晶體的信號、電源電壓和/或接地連接。
實施例可以實現益處。例如,執行自對準製程以蝕刻閘極結構可以提高蝕刻閘極結構的準確性。這將允許對尺寸較小的特徵進行圖案化、增加裝置密度、減少裝置缺陷並提高裝置性能。此外,執行自對準製程以修整磊晶源極/汲極區域還改善了修整磊晶源極/汲極區域的精度。這將允許對尺寸較小的特徵進行圖案化、增加裝置密度、減少裝置缺陷並提高裝置性能。此外,可以減小磊晶源極/汲極區域的尺寸,從而減小寄生電容。在低介電常數材料的閘極結構和源極/汲極區域附近形成層間介電質或形成氣隙可以進一步減小寄生電容,從而提高裝置性能。
根據一個實施例,一種半導體裝置包括第一電晶體結構;在第一電晶體結構的正面上的第一互連結構;在第一電晶體結構的背面上的第二互連結構,第二互連結構包括在第一電晶體結構的背面上的第一介電層;延伸穿過第一介電層到第一電晶體結構的源極/汲極區域的接觸件;沿著在接觸件和第一介電層之間之接觸件的側壁的第一間隔物,面對第一介電層的第一間隔物的側壁與第一電晶體結構的源極/汲極區域的側壁對齊。在一個實施例中,半導體裝置還包括圍繞源極/汲極區域的第二介電層;以及在第二介電層中的氣隙。在一個實施例中,第二介電層包括低介電常數材料。在一個實施例中,第一介電層包括低介電常數材料,並且第一介電層和第二介電層包圍氣隙。在一個實施例中,第二互連結構還包括電連接到接觸件的電源線。在一個實施例中,第二互連結構還包括第二介電層,第二介電層包括與第一介電層的表面齊平的表面,第二介電層的側壁與第一電晶體結構的奈米結構的側壁對齊。在一個實施例中,半導體裝置還包括沿著在第二介電層和第一介電層之間之第二介電層的側壁的第二間隔物,面對第一介電層的第二間隔物的側壁與第一電晶體結構的閘極結構的端面對齊。
根據另一個實施例,一種半導體裝置包括:第一電晶體結構,其包括第一奈米結構,圍繞第一奈米結構的第一閘極結構,以及與第一閘極結構相鄰的第一源極/汲極區域;在第一電晶體結構的正面上的第一互連結構;在第一電晶體結構的背面上的第二互連結構,此第二互連結構包括在第一電晶體結構的背面上的第一介電層;以及延伸穿過第一介電層的第一間隔物,第一間隔物的第一側壁與第一閘極結構的第一端面對齊。在一個實施例中,半導體裝置還包括延伸穿過第一介電層的第二間隔物,第二間隔物的第二側壁與第一源極/汲極區域的側壁對齊。在一個實施例中,第一間隔物的第二側壁與第一奈米結構對齊。在一個實施例中,第一電晶體結構還包括與第一閘極結構相鄰的第二介電層。在一實施例中,氣隙設置在第二介電層中。在一個實施例中,第一介電層和第二介電層包圍氣隙。在一個實施例中,半導體裝置還包括第二電晶體結構,此第二電晶體結構包括第二奈米結構,圍繞此第二奈米結構的第二閘極結構,以及與此第二閘極結構相鄰的第二源極/汲極區域,此第二介電層沿著第一閘極結構和第二閘極結構的長軸的方向將第二閘極結構的第二端面與第一閘極結構的第一端面間隔開。
根據另一個實施例,一種方法包括在半導體基板上形成電晶體結構;使半導體基板變薄以暴露鰭片;在使半導體基板變薄之後,沿著鰭片的側壁形成第一間隔物;使用第一間隔物作為遮罩來蝕刻電晶體結構的源極/汲極區域;並在源極/汲極區域附近形成第一層間介電質。在一個實施例中,此方法還包括在第一層間介電質上方形成第二層間介電質,形成第二層間介電質以密封第一層間介電質中與源極/汲極區域相鄰的氣隙。在一個實施例中,此方法還包括使用第一間隔物作為遮罩在電晶體結構的閘極結構中蝕刻開口;形成與第一間隔物相鄰的第二層間介電質。在一個實施例中,形成第二層間介電質包括在第二層間介電質中形成氣隙,此氣隙與閘極結構相鄰。在一個實施例中,此方法進一步包括用覆蓋層代替部分的鰭片;以及在覆蓋層和第一間隔物上方形成圖案化的硬遮罩,此圖案化的硬遮罩暴露部分的覆蓋層、第一間隔物和閘極結構的閘極介電層,並使用第一間隔物、覆蓋層和圖案化的硬遮罩作為遮罩蝕刻電晶體結構的閘極結構。在一個實施例中,此方法進一步包括用磊晶生長的半導體材料代替鰭片;使用電耦合至源極/汲極區域的導電通孔代替磊晶生長的半導體材料。
前述內容概述了幾個實施例的特徵,使得本領域具普通知識者可以更好地理解本公開的各方面。本領域具普通知識者應該理解,他們可以容易地將本公開作為設計或修改其他製程和結構的基礎,以實現與本文介紹的實施例相同的目的和/或實現相同的益處。本領域具普通知識者還應該理解,這樣的等效構造並不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,它們可以進行各種改變、替換和變更。
20:分隔件 50:基板 50A:第一基板 50B:第一絕緣層 50C:第二基板 50N:n型區域 50P:p型區域 51:第一半導體層 51A:第一半導體層 51B:第一半導體層 51C:第一半導體層 52:第一奈米結構 52A:第一奈米結構 52B:第一奈米結構 52C:第一奈米結構 53:第二半導體層 53A:第二半導體層 53B:第二半導體層 53C:第二半導體層 54:第二奈米結構 54A:第二奈米結構 54B:第二奈米結構 54C:第二奈米結構 55:奈米結構 56:多層堆疊 58:淺溝槽隔離區域 64:多層堆疊 66:鰭片 68:淺溝槽隔離區域 70:虛設介電層 71:虛設閘極介電質 72:虛設閘極層 74:遮罩層 76:虛設閘極 78:遮罩 80:第一間隔物層 81:第一間隔物 82:第二間隔物層 83:第二間隔物 84:第一圖案化的硬遮罩層 85:圖案化的光阻層 86:第一凹槽 87:第二凹槽 88:側壁凹槽 90:第一內部間隔物 91:第一磊晶材料 92:源極/汲極區域 92A:半導體材料層 92B:半導體材料層 92C:半導體材料層 94:蝕刻停止層 96:第一層間介電質 98:第二凹槽 99:閘極 100:閘極介電層 102:閘極 104:閘極遮罩 106:第二層間介電質 108:第三凹槽 109:裝置層 110:矽化物區域 112:源極/汲極接觸 114:閘極接觸 120:正面互連結構 122:導電特徵 122A:導線 124:第一介電層 126:覆蓋層 127:第四凹槽 128:第三間隔物 130:層間介電質 132:圖案化的硬遮罩 134:第五凹槽 135:第一氣隙 136:第四層間介電質 137:第二氣隙 138:第六凹槽 140:第五層間介電質 142:阻擋層 144:背面通孔 150:載體基板 152:結合層 152A:結合層 152B:結合層 153:第二介電層 154:導線 156:背面互連結構 158:第三介電層 160:導電特徵 164:鈍化層 166:凸塊下金屬層 168:外部連接器 A-A':橫截面 B-B':橫截面 C-C':橫截面 CD1:距離 D1:深度 T1:厚度 T2:厚度 W1:寬度 W2:寬度
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本公開的各方面。應理解,根據行業中的標準實踐,各種特徵未按比例繪製。實際上,為了討論的清楚,各種特徵的尺寸可以任意地增加或減小。 第1圖根據部分實施例以三維視圖繪示奈米結構場效應電晶體(nanostructure field-effect transistor, nano-FET)的示例。 第2圖、第3圖、第4圖、第5圖、第6A圖、第6B圖、第6C圖、第7A圖、第7B圖、第7C圖、第8A圖、第8B圖、第8C圖、第9A圖、第9B圖、第9C圖、第10A圖、第10B圖、第10C圖、第11A圖、第11B圖、第11C圖、第11D圖、第12A圖、第12B圖、第12C圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第14C圖、第14D圖、第14E圖、第14F圖、第15A圖、第15B圖、第15C圖、第16A圖、第16B圖、第16C圖、第17A圖、第17B圖、第17C圖、第18A圖、第18B圖、第18C圖、第19A圖、第19B圖、第19C圖、第20A圖、第20B圖、第20C圖、第21A圖、第21B圖、第21C圖、第22A圖、第22B圖、第22C圖、第23A圖、第23B圖、第23C圖、第24A圖、第24B圖、第24C圖、第25A圖、第25B圖、第25C圖、第25D圖、第26A圖、第26B圖、第26C圖、第26D圖、第27A圖、第27B圖、第27C圖、第27D圖、第28A圖、第28B圖、第28C圖、第28D圖、第29A圖、第29B圖、第29C圖、第29D圖、第30A圖、第30B圖、第30C圖、第30D圖、第30E圖、第31A圖、第31B圖、第31C圖、第31D圖、第31E圖、第32A圖、第32B圖、第32C圖、第32D圖、第32E圖、第32F圖、第32G圖、第33A圖、第33B圖、第33C圖、第33D圖、第34A圖、第34B圖、第34C圖、第34D圖、第35A圖、第35B圖、第35C圖、第35D圖、第36A圖、第36B圖、第36C圖、第37A圖、第37B圖、第37C圖、第38A圖、第38B圖和第38C圖為根據部分實施例在製造奈米場效應電晶體的中間階段的橫截面圖和後視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
54A:第二奈米結構
54B:第二奈米結構
54C:第二奈米結構
81:第一間隔物
90:第一內部間隔物
92:源極/汲極區域
94:蝕刻停止層
96:第一層間介電質
100:閘極介電層
102:閘極
104:閘極遮罩
106:第二層間介電質
110:矽化物區域
112:源極/汲極接觸
114:閘極接觸
120:正面互連結構
122:導電特徵
124:第一介電層
140:第五層間介電質
142:阻擋層
144:背面通孔
150:載體基板
152:結合層
152A:結合層
152B:結合層
153:第二介電層
154:導線
156:背面互連結構
158:第三介電層
160:導電特徵
164:鈍化層
166:凸塊下金屬層
168:外部連接器

Claims (20)

  1. 一種半導體裝置,包含: 一第一電晶體結構; 一第一互連結構,在該第一電晶體結構的一正面上;以及 一第二互連結構,在該第一電晶體結構的一背面上,該第二互連結構包含: 一第一介電層,在該第一電晶體結構的該背面上; 一接觸件,延伸穿過該第一介電層到該第一電晶體結構的一源極/汲極區域;以及 複數個第一間隔物,沿著在該接觸件和該第一介電層之間之該接觸件的複數個側壁,其中面對該第一介電層的該些第一間隔物的複數個側壁與該第一電晶體結構的該源極/汲極區域的複數個側壁對齊。
  2. 如請求項1所述之半導體裝置,更包含: 一第二介電層,圍繞該源極/汲極區域;以及 一氣隙,在該第二介電層中。
  3. 如請求項2所述之半導體裝置,其中該第二介電層包含一低介電常數材料。
  4. 如請求項3所述之半導體裝置,其中該第一介電層包含一低介電常數材料,並且其中該第一介電層和該第二介電層包圍該氣隙。
  5. 如請求項1所述之半導體裝置,其中該第二互連結構更包含電連接到該接觸件的一電源線。
  6. 如請求項1所述之半導體裝置,其中該第二互連結構更包含一第二介電層,該第二介電層包含與該第一介電層的一表面齊平的一表面,該第二介電層具有與該第一電晶體結構的一奈米結構的複數個側壁對齊的複數個側壁。
  7. 如請求項6所述之半導體裝置,更包含在該第二介電層和該第一介電層之間沿著該第二介電層的複數個側壁的複數個第二間隔物,其中,該些第二間隔物之面向該第一介電層的一側壁與該第一電晶體結構之一閘極結構的一端面對齊。
  8. 一種半導體裝置,包含: 一第一電晶體結構,包含一第一奈米結構、圍繞該第一奈米結構的一第一閘極結構以及與該第一閘極結構相鄰的一第一源極/汲極區域; 一第一互連結構,在該第一電晶體結構的一正面上;以及 一第二互連結構,在該第一電晶體結構的一背面上,該第二互連結構包含: 一第一介電層,在該第一電晶體結構的該背面上;以及 一第一間隔物,延伸穿過該第一介電層,該第一間隔物的一第一側壁與該第一閘極結構的一第一端面對齊。
  9. 如請求項8所述之半導體裝置,更包含延伸穿過該第一介電層的一第二間隔物,該第二間隔物的一第二側壁與該第一源極/汲極區域的一側壁對齊。
  10. 如請求項9所述之半導體裝置,其中該第一間隔物的一第二側壁與該第一奈米結構對齊。
  11. 如請求項9所述之半導體裝置,其中該第一電晶體結構更包含與該第一閘極結構相鄰的一第二介電層。
  12. 如請求項11所述之半導體裝置,其中在該第二介電層中設置有一氣隙。
  13. 如請求項12所述之半導體裝置,其中該第一介電層和該第二介電層包圍該氣隙。
  14. 如請求項11所述之半導體裝置,更包含: 一第二電晶體結構,包含一第二奈米結構; 一第二閘極結構,圍繞該第二奈米結構;以及 一第二源極/汲極區域,與該第二閘極結構相鄰,其中,該第二介電層在沿著該第一閘極結構和該第二閘極結構的複數個長軸的一方向上將該第二閘極結構的一第二端面與該第一閘極結構的該第一端面分開。
  15. 一種方法,包含: 形成一電晶體結構於一半導體基板上; 使該半導體基板變薄以暴露一鰭片; 在使該半導體基板變薄之後,沿著該鰭片的複數個側壁形成複數個第一間隔物; 使用該些第一間隔物作為一遮罩來蝕刻該電晶體結構的一源極/汲極區域;以及 形成與該源極/汲極區域相鄰的一第一層間介電質。
  16. 如請求項15所述之方法,更包含在該第一層間介電質上方形成一第二層間介電質,其中形成該第二層間介電質會密封在該第一層間介電質中與該源極/汲極區域相鄰的一氣隙。
  17. 如請求項15所述之方法,更包含: 使用該些第一間隔物作為一遮罩,蝕刻該電晶體結構的一閘極結構中的一開口;以及 形成與該些第一間隔物相鄰的一第二層間介電質。
  18. 如請求項17所述之方法,其中形成該第二層間介電質包含在該第二層間介電質中形成複數個氣隙,該些氣隙與該閘極結構相鄰。
  19. 如請求項17所述之方法,更包含: 使用一覆蓋層代替該鰭片的複數個部分;以及 形成一圖案化的硬遮罩於該覆蓋層和該些第一間隔物上,該圖案化的硬遮罩暴露該覆蓋層、該些第一間隔物和該閘極結構的一閘極介電層的複數個部分,其中使用該些第一間隔物、該覆蓋層和該圖案化的硬遮罩作為該遮罩蝕刻該電晶體結構的該閘極結構。
  20. 如請求項15所述之方法,更包含: 使用一磊晶生長的半導體材料代替該鰭片;以及 使用電耦合到該源極/汲極區域的一導電通孔代替該磊晶生長的半導體材料。
TW110101198A 2020-04-28 2021-01-13 半導體裝置及其製造方法 TWI777363B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063016391P 2020-04-28 2020-04-28
US63/016,391 2020-04-28
US16/944,025 US11342326B2 (en) 2020-04-28 2020-07-30 Self-aligned etch in semiconductor devices
US16/944,025 2020-07-30

Publications (2)

Publication Number Publication Date
TW202141655A true TW202141655A (zh) 2021-11-01
TWI777363B TWI777363B (zh) 2022-09-11

Family

ID=76811361

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101198A TWI777363B (zh) 2020-04-28 2021-01-13 半導體裝置及其製造方法

Country Status (4)

Country Link
US (3) US11342326B2 (zh)
CN (1) CN113140546A (zh)
DE (1) DE102020120522B4 (zh)
TW (1) TWI777363B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI815623B (zh) * 2021-11-04 2023-09-11 台灣積體電路製造股份有限公司 奈米結構場效電晶體裝置及其形成方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11652043B2 (en) * 2020-04-29 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside via
US11257758B2 (en) * 2020-06-24 2022-02-22 Taiwan Semiconductor Manufacturing Company Limited Backside connection structures for nanostructures and methods of forming the same
KR20220034337A (ko) * 2020-09-11 2022-03-18 삼성전자주식회사 반도체 장치
US20230268403A1 (en) * 2022-02-22 2023-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having front side and back side source/drain contacts
US20240128333A1 (en) * 2022-10-17 2024-04-18 International Business Machines Corporation Direct backside self-aligned contact

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166771A (en) * 1990-01-12 1992-11-24 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US20080023748A1 (en) * 2006-07-27 2008-01-31 Promos Technologies Pte. Ltd. Self-aligned contacts to source/drain regions
JP5638760B2 (ja) * 2008-08-19 2014-12-10 ルネサスエレクトロニクス株式会社 半導体装置
US8492811B2 (en) * 2010-09-20 2013-07-23 International Business Machines Corporation Self-aligned strap for embedded capacitor and replacement gate devices
US8877614B2 (en) * 2011-10-13 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer for semiconductor structure contact
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9093304B2 (en) * 2012-10-12 2015-07-28 Finscale Inc. Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9337316B2 (en) * 2014-05-05 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for FinFET device
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
DE112015006946T5 (de) 2015-09-25 2018-06-21 Intel Corporation Wrap-around-source/drain-verfahren zur herstellung von kontakten für rückseitenmetalle
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US11251156B2 (en) * 2015-12-23 2022-02-15 Intel Corporation Fabrication and use of through silicon vias on double sided interconnect device
US9799655B1 (en) 2016-04-25 2017-10-24 International Business Machines Corporation Flipped vertical field-effect-transistor
US10269793B2 (en) * 2016-04-28 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions in fin field effect transistors (FinFETs) and methods of forming same
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
BR112019001313A2 (pt) 2016-08-26 2019-04-30 Intel Corporation estruturas de dispositivo de circuito integrado e técnicas de fabricação de frente e verso
US10553733B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. QE approach by double-side, multi absorption structure
US11183423B2 (en) * 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices
US10535752B2 (en) * 2018-05-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method and related apparatus for reducing gate-induced drain leakage in semiconductor devices
EP3846204A4 (en) 2018-08-31 2023-07-26 Sony Semiconductor Solutions Corporation SEMICONDUCTOR DEVICE
US10748901B2 (en) 2018-10-22 2020-08-18 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US11437283B2 (en) * 2019-03-15 2022-09-06 Intel Corporation Backside contacts for semiconductor devices
KR20200131070A (ko) * 2019-05-13 2020-11-23 삼성전자주식회사 집적회로 소자

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI815623B (zh) * 2021-11-04 2023-09-11 台灣積體電路製造股份有限公司 奈米結構場效電晶體裝置及其形成方法

Also Published As

Publication number Publication date
TWI777363B (zh) 2022-09-11
CN113140546A (zh) 2021-07-20
US20230369324A1 (en) 2023-11-16
DE102020120522A1 (de) 2021-10-28
US11810917B2 (en) 2023-11-07
US20220262794A1 (en) 2022-08-18
US11342326B2 (en) 2022-05-24
US20210335783A1 (en) 2021-10-28
DE102020120522B4 (de) 2022-05-25

Similar Documents

Publication Publication Date Title
TW202117859A (zh) 半導體裝置
TWI764411B (zh) 封裝半導體元件及其形成方法
TWI750020B (zh) 半導體裝置及形成半導體裝置的方法
TWI777363B (zh) 半導體裝置及其製造方法
US20210375861A1 (en) Backside Interconnect Structures for Semiconductor Devices and Methods of Forming the Same
TWI801824B (zh) 半導體元件及其形成方法
US11799002B2 (en) Semiconductor devices and methods of forming the same
US11450600B2 (en) Semiconductor devices including decoupling capacitors
TWI777359B (zh) 半導體元件與其製造方法
TWI775278B (zh) 半導體裝置及其製作方法
US20240021684A1 (en) Semiconductor devices and methods of forming the same
US20220367454A1 (en) Backside Interconnect Structures for Semiconductor Devices and Methods of Forming the Same
TW202205382A (zh) 半導體元件的形成方法
TW202221762A (zh) 半導體裝置以及其形成方法
US11942390B2 (en) Thermal dissipation in semiconductor devices
TWI749986B (zh) 半導體元件及其形成方法
TWI751896B (zh) 半導體元件及其形成方法
US20220328363A1 (en) Dual-Side Power Rail Design and Method of Making Same
TW202245142A (zh) 半導體裝置以及其形成方法
US20210375761A1 (en) Semiconductor Devices with Backside Routing and Method of Forming Same
TW202145363A (zh) 半導體裝置的形成方法
TW202205597A (zh) 半導體裝置與其製造方法
TWI830158B (zh) 半導體裝置的製造方法
KR102432494B1 (ko) 반도체 소자에서의 자체 정렬 에칭
KR102526328B1 (ko) 후면 측 비아들을 포함한 반도체 디바이스들 및 그 형성 방법들

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent