TW202145363A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202145363A
TW202145363A TW110108472A TW110108472A TW202145363A TW 202145363 A TW202145363 A TW 202145363A TW 110108472 A TW110108472 A TW 110108472A TW 110108472 A TW110108472 A TW 110108472A TW 202145363 A TW202145363 A TW 202145363A
Authority
TW
Taiwan
Prior art keywords
transistor
backside
electrically connected
conductive
conductive wire
Prior art date
Application number
TW110108472A
Other languages
English (en)
Inventor
張尚文
邱奕勛
莊正吉
蔡慶威
林威呈
彭士瑋
曾健庭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/126,509 external-priority patent/US11862561B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145363A publication Critical patent/TW202145363A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13026Disposition relative to the bonding area, e.g. bond pad, of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在一實施例中,一種形成一結構的方法包括:在第一基板上方形成第一電晶體及第二電晶體;在第一電晶體及第二電晶體上方形成前側互連結構;蝕刻第一基板之至少一背側以暴露第一電晶體及第二電晶體;形成電連接至第一電晶體的第一背側通孔;形成電連接至第二電晶體的第二背側通孔;在第一背側通孔及第二背側通孔上方沈積介電層;在介電層中形成第一導電接線,第一導電接線為經由第一背側通孔電連接至第一電晶體的電源軌;及於介電層中形成第二導電接線,第二導電接線為經由第二背側通孔電連接至第二電晶體的信號接線。

Description

具有背側佈線的半導體裝置及其形成方法
無。
諸如個人電腦、行動電話、數位攝影機及其他電子設備的各種電子應用中使用半導體裝置。半導體裝置通常由以下方式製造:在半導體基板上方順序沈積絕緣或介電材料層、導電材料層及半導體材料層,並使用微影術圖案化各材料層來在半導體基板上形成電路組件及元件。
半導體行業藉由持續減小最小特徵大小而持續改良多種電子組件(例如,電晶體、二極體、電阻器、電容器等等)之積體密度,此情形允許將更多組件整合至給定區域中。然而,隨著最小特徵大小減小,產生了應被解決的額外問題。
無。
以下揭露提供用於實施本揭示內容之不同特徵的許多不同實施例或實例。下文描述組件及配置的特定實例以簡化本揭露。當然,此等組件及配置僅為實例且並非意欲為限制性的。舉例而言,在以下描述中第一特徵於第二特徵上方或上的形成可包括第一及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間使得第一特徵及第二特徵可不直接接觸的實施例。此外,本揭露在各種實例中可重複參考數字及/或字母。此重複係出於簡單及清楚的目的,且本身並不指明所論述之各種實施例及/或組態之間的關係。
進一步地,為方便描述可在本文中使用空間相對術語,諸如「在……之下」、「在……下方」、「下面的」、「在……上方」、「上面的」及其類似者來描述如在諸圖中所例示之一個元件或特徵與另外(諸等)元件或(諸等)特徵的關係。該等空間相對術語意欲除諸圖中所描繪之定向外,亦涵蓋裝置在使用或操作中之不同定向。裝置可另外定向(旋轉90度或處於其他定向)且據此可同樣解譯本文所用之空間相對描述詞。
各種實施例提供用於在半導體裝置中形成信號及電源佈線的方法以及包括該信號及電源佈線的半導體裝置。在一些實施例中,佈線可形成於包括半導體裝置的半導體晶片之背側上的互連結構中。背側互連結構可經佈線用於電源接線、電接地接線及發信以提供至諸如電晶體或類似者之某些前側設備的連接性。此外,經由背側互連結構對電源接線、電接地接線及發信進行佈線可減小用於前側互連結構中的總佈線,此情形藉由減低佈線密度來改良佈線效能。
本文中論述之一些實施例在包括奈米場效電晶體(NANOSTRUCTURE FIELD-EFFECT TRANSISTOR;NANO-FET)的晶粒的情形下進行描述。然而,各種實施例可應用至包括取代奈米場效電晶體或與奈米場效電晶體相結合的其他類型電晶體(例如,鰭片式場效應電晶體(fin field effect transistor;FinFET)、平面電晶體或類似者)之晶粒。
第1圖以立體圖例示根據一些實施例的奈米場效電晶體(例如,奈米線場效電晶體、奈米片場效電晶體或類似者)的實例。奈米場效電晶體包含在基板50 (例如,半導體基板)上之鰭片66上方的奈米結構55 (例如,奈米片,奈米線或類似者),其中奈米結構55充當奈米場效電晶體的通道區。奈米結構55可包括p型奈米結構、n型奈米結構或者其組合。淺溝槽隔離(shallow trench isolation ;STI)區68設置於相鄰的鰭片66之間,該些鰭片66可自淺溝槽隔離區68上方及鄰近的淺溝槽隔離區68之間突出。儘管將淺溝槽隔離區68描述/例示為與基板50分離,但如本文所使用,術語「基板」可指單獨的半導體基板或半導體基板與淺溝槽隔離區之組合。另外,儘管例示鰭片66的底部部分與基板50一起例示為單種連續的材料,但鰭片66的底部部分及/或基板50可包含單種材料或複數種材料。在此情形下,鰭片66指在鄰近的淺溝槽隔離區68之間延伸的部分。
閘極介電層100係在鰭片66的頂表面上方並沿著奈米結構55的頂表面、側壁及底表面。閘極電極102係在閘極介電層100上方。磊晶源極/汲極區92設置在閘極介電層100與閘極電極102的相對側上的鰭片66上。
第1圖進一步例示後續圖式中所使用的參考橫截面。橫截面A-A’係沿著閘極電極102的縱軸且位於例如與奈米場效電晶體的磊晶源極/汲極區92之間的電流方向垂直的方向上。橫截面B-B’與橫截面A-A’平行且延伸穿過多個奈米場效電晶體的磊晶源極/汲極區92。橫截面C-C’垂直於橫截面A-A’,與奈米場效電晶體的鰭片66的縱軸平行,且位於例如奈米場效電晶體的磊晶源極/汲極區92之間的電流的方向上。為了清楚,後續圖式參考此等參考橫截面。
本文中論述的一些實施例係在使用後閘極製程形成的奈米場效電晶體的情形下予以論述。在其他實施例中,可使用前閘極製程。此外,一些實施例預期到用於諸如平面場效電晶體或鰭片式場效電晶體之平面設備中的態樣。
第2圖至第34C圖係根據一些實施例的製造奈米場效電晶體之中間階段的剖面圖。第2圖至第5圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖及第31A圖至第31D圖例示第1圖所示的參考橫截面A-A’。第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第12D圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29A圖、第29B圖、第30A圖、第30B圖,及第31A至第31D圖例示第1圖中所示的參考橫截面B-B’。第7C圖、第8C圖、第9C圖、第10C圖、第11C圖、第11D圖、第12C圖、第12E圖、第13C圖、第14C圖、第15C圖、第16C圖、第17C圖、第18C圖、第19C圖、第20C圖、第21C圖、第22C圖、第23C圖、第24C圖、第25C圖、第26C圖、第27C圖及第28C圖例示第1圖中所示的參考橫截面C-C’。第32A圖例示參考橫截面X-X’(亦參見第32A圖及第32C圖至第32H圖),該參考橫截面為參考橫截面B-B’的一版本。第32B圖例示參考橫截面Y-Y’(亦參見第32B圖及第32C圖至第32H圖),該參考橫截面為參考橫截面B-B’的另一版本。第30C圖至第30E圖、第32C圖至第32H圖、第33A圖、第33B圖、第34A圖及第34B圖例示平面圖。第33C圖及第34C圖例示電路佈局。
在第2圖中,提供基板50。基板50可係半導體基板,諸如塊半導體、絕緣體上半導體(semiconductor-on-insulator;SOI)基板或類似者,該基板可係摻雜的(例如,摻雜有p型或n型摻雜劑)或無摻雜的。基板50可係晶圓,諸如矽晶圓。一般而言,絕緣體上半導體基板係形成於絕緣體層上的一層半導體材料。絕緣體層可係例如埋入式氧化物(buried oxide;BOX)層、氧化矽層或類似者。絕緣體層提供於基板上,通常矽或玻璃基板上。亦可使用諸如多層或梯度基板之其他基板。在一些實施例中,基板50的半導體材料可包括矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括矽鍺、砷磷化鎵、砷化銦鋁、砷化鎵鋁、砷化銦鎵、磷化銦鎵及/或砷磷化銦鎵;或其組合。
基板50具有n型區50N及p型區50P。n型區50N可用於形成n型設備,諸如n型金氧半導體(n-type metal oxide semiconductor;NMOS)電晶體(例如,n型奈米場效電晶體),且p型區50P可用於形成p型設備,諸如p型金氧半導體(p-type metal oxide semiconductor;PMOS)電晶體(例如,p型奈米場效電晶體)。n型區50N可與p型區50P實體分離(如藉由分隔器20所例示),且在n型區50N與p型區50P之間可設置任意數目個設備特徵(例如,其他主動設備、經摻雜的區、隔離結構等)。儘管例示了一個n型區50N及一個p型區50P,但可提供任意數目個n型區50N及p型區50P。
進一步地,在第2圖中,在基板50上方形成多層堆疊64。多層堆疊64包括第一半導體層51A至51C (統稱為第一半導體層51)及第二半導體層53A至53C (統稱為第二半導體層53)的交替層。為了例示且如下文更詳細地論述,將移除第一半導體層51,並圖案化第二半導體層53以在n型區50N及p型區50P中形成奈米場效電晶體的通道區。然而,在一些實施例中,可移除第一半導體層51並可圖案化第二半導體層53以在n型區50N中形成奈米場效電晶體的通道區;且可移除第二半導體層53並可圖案化第一半導體層51以在p型區50P中形成奈米場效電晶體的通道區。在一些實施例中,可移除第二半導體層53並可圖案化第一半導體層51以在n型區50N中形成奈米場效電晶體的通道區;且可移除第一半導體層51並可圖案化第二半導體層53以在p型區50P中形成奈米場效電晶體的通道區。在一些實施例中,可移除第二半導體層53,並可圖案化第一半導體層51以在n型區50N及p型區50P兩者中均形成奈米場效電晶體的通道區。
出於例示目的,例示多層堆疊64為包括三層第一半導體層51及三層第二半導體層53。在一些實施例中,多層堆疊64可包括任意數目個第一半導體層51及第二半導體層53。可使用諸如化學氣相沈積(chemical vapor deposition;CVD)、原子層沈積(atomic layer deposition;ALD)、氣相磊晶(vapor phase epitaxy;VPE)、分子束磊晶(molecular beam epitaxy;MBE)或類似者的製程來磊晶生長多層堆疊64的每一層。在各種實施例中,第一半導體層51可由適於諸如矽鍺或類似者的p型奈米場效電晶體的第一半導體材料來形成,且第二半導體層53可由適於諸如矽、矽碳或類似者的n型奈米場效電晶體的第二半導體材料來形成。出於例示目的,例示多層堆疊64為具有適於p型奈米場效電晶體之最底部半導體層。在一些實施例中,可形成多層堆疊64,使得最底部層係適於n型奈米場效電晶體的半導體層。
第一半導體材料及第二半導體材料可係相互具有高蝕刻選擇性之材料。因此,可在不顯著移除第二半導體材料的第二半導體層53的情況下移除第一半導體材料的第一半導體層51,從而允許對第二半導體層53進行圖案化來形成奈米場效電晶體的通道區。類似地,在移除第二半導體層53並圖案化第一半導體層51以形成通道區的實施例中,可在不顯著移除第一半導體材料的第一半導體層51的情況下移除第二半導體材料的第二半導體層53,從而允許對第一半導體層51進行圖案化來形成奈米場效電晶體的通道區。
現參看第3圖,根據一些實施例,鰭片66形成於基板50中,且奈米結構55形成於多層堆疊64中。在一些實施例中,可分別藉由在多層堆疊64及基板50中蝕刻溝槽而在多層堆疊64及基板50中形成奈米結構55及鰭片66。蝕刻可係任何可接受的蝕刻製程,諸如反應離子蝕刻(reactive ion etch;RIE)、中性束蝕刻(neutral beam etch;NBE)、類似者,或者其組合。蝕刻可係各向異性的。藉由蝕刻多層堆疊64來形成奈米結構55可進一步自第一半導體層51界定出第一奈米結構52A至52C (統稱為第一奈米結構52)並自第二半導體層53界定出第二奈米結構54A至54C (統稱為第二奈米結構54)。第一奈米結構52及第二奈米結構54可統稱為奈米結構55。
可藉由任何合適的方法來圖案化鰭片66及奈米結構55。舉例而言,鰭片66及奈米結構55可使用一或多個光微影製程,包括雙重圖案化或多重圖案化製程來圖案化。一般而言,雙重圖案化或多重圖案化製程結合光微影製程與自對準製程,從而允許產生例如與使用單個直接光微影製程可獲得之圖案相比具有更小節距之圖案。舉例而言,在一個實施例中,犧牲層形成於基板上方並使用光微影製程進行圖案化。使用自對準製程沿經圖案化之犧牲層形成間隔物。接著移除犧牲層,且接著剩餘的間隔物可用來圖案化鰭片66。
出於例示目的,第3圖例示n型區50N及p型區50P中的鰭片66具有實質上相等的寬度。在一些實施例中,n型區50N中鰭片66的寬度可大於或小於p型區50P中鰭片66的寬度。進一步地,雖然例示鰭片66及奈米結構55中之每一者始終具有一致的寬度,但在其他實施例中,鰭片66及/或奈米結構55可具有錐形側壁,使得鰭片66及/或奈米結構55中之每一者的寬度在朝向基板50之方向上連續增大。在此種實施例中,奈米結構55中之每一者可具有不同的寬度且形狀可為梯形。
在第4圖中,淺溝槽隔離區68相鄰於鰭片66形成。可藉由在基板50、鰭片66及奈米結構55上方且在相鄰的鰭片66之間沈積絕緣材料來形成淺溝槽隔離區68。絕緣材料可係諸如氧化矽之氧化物、氮化物、類似者或者其組合,且可藉由高密度電漿化學氣相沈積(high-density plasma chemical vapor deposition;HDP-CVD)、流動化學氣相沈積(flowable chemical vapor deposition;FCVD)、類似者或者其組合形成。可使用藉由任何可接受的製程形成的其他絕緣材料。在例示的實施例中,絕緣材料係藉由流動化學氣相沈積製程形成的氧化矽。一旦形成了絕緣材料,即可執行退火製程。在一實施例中,形成絕緣材料,使得過多的絕緣材料覆蓋奈米結構55。儘管將絕緣材料例示為單層,但一些實施例可利用多層絕緣材料。舉例而言,在一些實施例中,可首先沿基板50、鰭片66及奈米結構55的表面形成襯裡(未單獨例示)。其後,可在襯裡上方形成諸如上方所論述之彼等的填充材料。
接著對絕緣材料應用移除製程來移除奈米結構55上方的過多絕緣材料。在一些實施例中,可利用諸如化學機械研磨(chemical mechanical polish;CMP)之平坦化製程、回蝕製程、其組合,或類似者。平坦化製程暴露奈米結構55,使得在完成平坦化製程之後,使奈米結構55的頂表面與絕緣材料平齊。
接著,使絕緣材料凹入來形成淺溝槽隔離區68。絕緣材料經凹入,使得n型區50N及p型區50P中鰭片66之上部自鄰近的淺溝槽隔離區68之間突出。進一步地,淺溝槽隔離區68的頂表面可如圖所示具有平坦表面、凸起表面、凹陷表面(諸如碟形)或者其組合。可藉由適當的蝕刻使淺溝槽隔離區68的頂表面形成為平坦、凸起及/或凹陷的。可使用可接受的蝕刻製程,諸如對絕緣材料的材料具有選擇性(例如,與鰭片66及奈米結構55的材料相比,以更快速率蝕刻絕緣材料)的蝕刻製程使淺溝槽隔離區68凹入。舉例而言,可使用利用例如稀鹽酸(dilute hydrofluoric;DHF)的氧化物移除。
上文關於第2圖至第4圖描述的製程僅為可如何形成鰭片66及奈米結構55的一個實例。在一些實施例中,鰭片66及/或奈米結構55可使用罩幕及磊晶生長製程來形成。舉例而言,可在基板50之頂表面上方形成介電層,並且溝槽可蝕刻穿過介電層來暴露下伏基板50。可在溝槽中磊晶生長磊晶結構,且介電層可經凹入使得磊晶結構自介電層突出來形成鰭片66及/或奈米結構55。磊晶結構可包含上文論述的交替的半導體材料,諸如第一半導體材料及第二半導體材料。在磊晶生長磊晶結構的一些實施例中,磊晶生長的材料可在生長過程中進行原位摻雜,此情形可避免之前及/或後續佈植,儘管可一起使用原位及佈植摻雜。
另外,僅出於例示目的,第一半導體層51 (及得到的第一奈米結構52)及第二半導體層53 (及得到的第二奈米結構54)本文中例示並論述為在p型區50P及n型區50N中包含相同材料。因此,在一些實施例中,第一半導體層51及第二半導體層53之一者或兩者在p型區50P及n型區50N中可係不同的材料或以不同的順序形成。
進一步地,在第4圖中,可在鰭片66、奈米結構55及/或淺溝槽隔離區68中形成適當的阱(未單獨例示)。在具有不同阱類型的實施例中,可使用光阻劑或其他罩幕(未單獨例示)來達成用於n型區50N及p型區50P的不同的佈植步驟。舉例而言,可在n型區50N及p型區50P中的鰭片66及淺溝槽隔離區68上方形成光阻劑。對光阻劑進行圖案化來暴露p型區50P。可藉由使用旋塗技術來形成光阻劑,並且可使用可接受的光微影技術來圖案化光阻劑。光阻劑一旦經圖案化,便在p型區50P中執行n型雜質佈植,且光阻劑可充當罩幕來實質上防止n型雜質被佈植到n型區50N中。n型雜質可係在區中佈植達範圍為大約1013 原子/ cm3 至大約1014 原子/ cm3 之濃度的磷、砷、銻或類似者。在佈植之後,藉由諸如可接受的灰化製程來移除光阻劑。
在p型區50P的佈植之後或之前,在p型區50P及n型區50N中的鰭片66、奈米結構55及淺溝槽隔離區68上方形成光阻劑或其他罩幕(未單獨例示)。對光阻劑進行圖案化來暴露n型區50N。可藉由使用旋塗技術來形成光阻劑,並且可使用可接受的光微影技術來圖案化光阻劑。光阻劑一旦經圖案化,便可在n型區50N中執行p型雜質佈植,且光阻劑可充當罩幕來實質上防止p型雜質被佈植到p型區50P中。p型雜質可係在區中佈植達範圍為大約1013 原子/cm3 至大約1014 原子/cm3 之濃度的硼、氟化硼、銦或類似者。在佈植之後,可藉由諸如可接受的灰化製程來移除光阻劑。
在n型區50N及p型區50P的佈植之後,可執行退火來修復佈植損傷並活化經佈植的p型及/或n型雜質。在一些實施例中,磊晶鰭片的生長材料可在生長過程中進行原位摻雜,此情形可避免佈植,儘管可一起使用原位及佈植摻雜。
在第5圖中,虛設介電層70形成於鰭片66及/或奈米結構55上。虛設介電層70可係例如氧化矽、氮化矽、其組合或類似者,且可根據可接受的技術進行沈積或熱生長。虛設閘極層72形成於虛設介電層70上方,且罩幕層74形成於虛設閘極層72上方。虛設閘極層72可沈積於虛設介電層70上方且接著藉由諸如化學機械研磨進行平坦化。罩幕層74可沈積於虛設閘極層72上方。虛設閘極層72可係導電或不導電材料,且可選自包括以下各者之群組:非晶矽(amorphous silicon)、多晶矽(polycrystalline-silicon,polysilicon)、多晶矽鍺(poly-crystalline silicon-germanium;poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物及金屬。虛設閘極層72可藉由物理氣相沈積(physical vapor deposition;PVD)、化學氣相沈積、濺射沈積(sputter deposition)或其他用於沈積所選材料之技術進行沈積。虛設閘極層72可由針對隔離區蝕刻具有高蝕刻選擇性之其他材料製成。罩幕層74可包括例如氮化矽、氧氮化矽或類似者。在此實例中,橫跨n型區50N及p型區50P形成單個虛設閘極層72及單個罩幕層74。應理解,僅出於例示目的,繪示虛設介電層70僅覆蓋鰭片66及奈米結構55。在一些實施例中,可沈積虛設介電層70,使得虛設介電層70覆蓋淺溝槽隔離區68,使得虛設介電層70在虛設閘極層72與淺溝槽隔離區68之間延伸。
第6A圖至第28C圖例示實施例設備製造中之各種額外步驟。第6A圖至第18C圖例示n型區50N或p型區50P中之特徵。在第6A圖至第6C圖中,罩幕層74 (參見第5圖)可使用可接受的光微影及蝕刻技術進行圖案化來形成罩幕78。罩幕78的圖案接著可被轉印至虛設閘極層72及虛設介電層70來分別形成虛設閘極76及虛設閘極介電質71。虛設閘極76覆蓋鰭片66的各別通道區。罩幕78的圖案可用來實體分離每個虛設閘極76與相鄰的虛設閘極76。虛設閘極76亦可具有實質上與各別鰭片66的長度方向垂直的長度方向。
在第7A圖至第7C圖中,第一間隔物層80及第二間隔物層82形成於第6A圖至第6C圖中所示的結構上方。第一間隔物層80及第二間隔物層82後續將被圖案化來充當用於形成自對準源極/汲極區的間隔物。在第7A圖至第7C圖中,第一間隔物層80形成於淺溝槽隔離區68的頂表面上;鰭片66、奈米結構55及罩幕78的頂表面及側壁上;及虛設閘極76及虛設閘極介電質71的側壁上。第二間隔物層82沈積於第一間隔物層80上方。第一間隔物層80可使用諸如熱氧化之技術由氧化矽、氮化矽、氮氧化矽或類似者形成或藉由化學氣相沈積、原子層沈積或類似者進行沈積。第二間隔物層82可由蝕刻速率與第一間隔物層80的材料不同的材料,諸如氧化矽、氮化矽、氮氧化矽或類似者形成,並且可藉由化學氣相沈積、原子層沈積或類似者進行沈積。
在形成第一間隔物層80之後且在形成第二間隔物層82之前,可執行用於輕度摻雜源極/汲極(lightly doped drain;LDD)區(未單獨例示)之佈植。在具有不同設備類型的實施例中,與上文在第4圖中論述的佈植類似,諸如光阻劑的罩幕可形成於n型區50N上方,同時暴露p型區50P,並且可將合適類型(例如,p型)的雜質佈植於p型區50P中所暴露的鰭片66及奈米結構55。接著可移除罩幕。隨後,諸如光阻劑的罩幕可形成於p型區50P上方,同時暴露n型區50N,並且可將合適類型的雜質(例如,n型雜質)佈植於n型區50N中所暴露的鰭片66及奈米結構55。接著可移除罩幕。n型雜質可係前文論述的n型雜質的任一者,且p型雜質可係前文論述的p型雜質的任一者。輕度摻雜的源極/汲極區可具有範圍為大約1×1015 原子/cm3 至大約1×1019 原子/cm3 的雜質濃度。退火可用來修復佈植損傷且活化佈植的雜質。
在第8A圖至第8C圖中,蝕刻第一間隔物層80及第二間隔物層82來形成第一間隔物81及第二間隔物83。如下文將更詳細地進行論述,第一間隔物81及第二間隔物83用來使後續形成的源極/汲極區自對準以及在後續處理過程中保護鰭片66及/或奈米結構55的側壁。可使用諸如各向同性蝕刻製程(例如,濕式蝕刻製程)、各向異性蝕刻製程(例如,乾式蝕刻製程)或類似者的合適的蝕刻製程來蝕刻第一間隔物層80及第二間隔物層82。在一些實施例中,與第一間隔物層80的材料相比,第二間隔物層82的材料具有不同的蝕刻速率,使得第一間隔物層80可在圖案化第二間隔物層82時充當蝕刻終止層,且使得第二間隔物層82可在圖案化第一間隔物層80時充當罩幕。舉例而言,可使用各向異性蝕刻製程來蝕刻第二間隔物層82,其中第一間隔物層80充當蝕刻終止層,其中第二間隔物層82的剩餘部分形成第8B圖中所示的第二間隔物83。其後,在蝕刻第一間隔物層80的所暴露的部分時,第二間隔物83充當罩幕,從而形成第8B圖及第8C圖中所示的第一間隔物81。
如第8B圖中所示,第一間隔物81及第二間隔物83設置於鰭片66及/或奈米結構55的側壁上。如第8C圖中所示,在一些實施例中,第二間隔物層82可自相鄰於罩幕78、虛設閘極76及虛設閘極介電質71之第一間隔物層80上方移除,且第一間隔物81設置於罩幕78、虛設閘極76及虛設閘極介電質60的側壁上。在其他實施例中,第二間隔物層82的一部分可剩餘在相鄰於罩幕78、虛設閘極76及虛設閘極介電質71之第一間隔物層80上方。
應注意,上述揭露大體描述形成間隔物及輕度摻雜汲極區之製程。可使用其他製程及序列。舉例而言,可利用更少或額外間隔物,可利用不同的步驟序列(例如,可在沈積第二間隔物層82之前圖案化第一間隔物81),可形成並移除額外間隔物及/或類似者。此外,可使用不同結構及步驟形成n型及p型設備。
在第9A圖至第9C圖中,根據一些實施例,在鰭片66、奈米結構55及基板50中形成第一凹部86及第二凹部87。磊晶源極/汲極區後續將在第一凹部86中形成,且第一磊晶材料及磊晶源極/汲極區後續將在第二凹部87中形成。第一凹部86及第二凹部87可延伸穿過第一奈米結構52及第二奈米結構54並延伸至基板50中。如第9B圖中所示,淺溝槽隔離區68的頂表面可與第一凹部86的底表面平齊。在各種實施例中,可蝕刻鰭片66,使得第一凹部86的底表面設置成低於淺溝槽隔離區68的頂表面。第二凹部87的底表面可設置在第一凹部的底表面及淺溝槽隔離區68的頂表面下方。可藉由使用諸如反應離子蝕刻、中性束蝕刻或類似者的各向異性蝕刻製程蝕刻鰭片66、奈米結構55及基板50來形成第一凹部86及第二凹部87。在用於形成第一凹部86及第二凹部87的蝕刻製程期間,第一間隔物81、第二間隔物83及罩幕78遮蔽鰭片66、奈米結構55及基板50的數個部分。可使用單個蝕刻製程或多個蝕刻製程來蝕刻奈米結構55及/或鰭片66的每一層。可使用定時蝕刻製程以在第一凹部86及第二凹部87達到所要深度之後終止蝕刻。可藉由用於蝕刻第一凹部86的相同製程及在蝕刻第一凹部86之前或之後藉由額外蝕刻製程來蝕刻第二凹部87。舉例而言,在執行針對第二凹部87的額外蝕刻製程同時,可遮蔽對應於第一凹部86的區。
在第10A圖至第10C圖中,蝕刻由第一凹部86及第二凹部87暴露的由第一半導體材料(例如,第一奈米結構52)形成的多層堆疊64之各層的側壁的一部分,以形成側壁凹部88。儘管在第10C圖中與側壁凹部88相鄰的第一奈米結構52的側壁例示為係直線,但側壁亦可係凹陷或凸起的。可使用諸如濕式蝕刻或類似者的各向同性蝕刻製程來蝕刻側壁。在第一奈米結構52包括例如矽鍺(SiGe)且第二奈米結構54包括例如矽或 碳化矽(SiC)之實施例中,可使用利用四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)、氫氧化銨(NH4 OH)或類似者之乾式蝕刻製程來蝕刻第一奈米結構52的側壁。
在第11A圖至第11D圖中,第一內部間隔物90形成於側壁凹部88中。可藉由在第10A圖至第10C圖中所示的結構上方沈積內部間隔物層(未單獨例示)來形成第一內部間隔物90。第一內部間隔物90充當後續形成的源極/汲極區與閘極結構之間的隔離特徵。如下文將更詳細地進行論述,源極/汲極區及磊晶材料將在第一凹部86及第二凹部87中形成,而第一奈米結構52將用對應的閘極結構替代。
可藉由保形沈積製程,諸如化學氣相沈積、原子層沈積或類似者來沈積內部間隔物層。內部間隔物層可包含諸如氮化矽或氮氧化矽的材料,但是可利用任何合適的材料,諸如k值小於約3.5的低介電常數(低k)材料。接著可各向異性地蝕刻內部間隔物層以形成第一內部間隔物90。儘管第一內部間隔物90的外部側壁被例示為與第二奈米結構54的側壁相平,但是第一內部間隔物90的外部側壁可延伸超過第二奈米結構54的側壁或自該側壁凹入。
此外,儘管第一內部間隔物90的外部側壁在第11C圖中被例示為直線,但是第一內部間隔物90的外部側壁亦可係凹陷或凸起的。作為實例,第11D圖例示第一奈米結構52的側壁為凹陷的實施例,第一內部間隔物90的外部側壁為凹陷的,且第一內部間隔物90自第二奈米結構54的側壁凹入。可藉由各向異性蝕刻製程,諸如反應離子蝕刻、中性束蝕刻或類似者來蝕刻內部間隔物層。第一內部間隔物90可用來防止藉由後續蝕刻製程(諸如用於形成閘極結構的蝕刻製程)對後續形成之源極/汲極區(諸如下文關於第12A圖至第12E圖所論述的磊晶源極/汲極區92)的損傷。
在第12A圖至第12E圖中,第一磊晶材料91形成於第二凹部87中,且磊晶源極/汲極區92形成於第一凹部86及第二凹部87中。在一些實施例中,第一磊晶材料91可係犧牲材料,該犧牲材料後續被移除以形成背側通孔(諸如下文關於第26A圖至第26D圖所論述的背側通孔130)。如第12B圖至第12E圖中所示,第一磊晶材料91的頂表面可與第一凹部86的底表面平齊。然而,在一些實施例中,第一磊晶材料91的頂表面可設置成在第一凹部86的底表面上方或下方。可使用諸如化學氣相沈積、原子層沈積、氣相磊晶、分子束磊晶或類似者的製程來在第二凹部87中磊晶生長第一磊晶材料91。第一磊晶材料91可包括任何可接受的材料,諸如矽鍺或類似者。第一磊晶材料91可由對磊晶源極/汲極區92及介電層(諸如下文關於第24A圖至第24C圖所論述的淺溝槽隔離區68及第二介電層125)的材料具有高蝕刻選擇性的材料形成。因此,第一磊晶材料91可在不顯著移除磊晶源極/汲極區92及介電層的情況下移除且用背側通孔替代。類似地,如先前所描述,在第一磊晶材料91形成於第二凹部87中同時,對應於第一凹部86之區可被遮蔽。
磊晶源極/汲極區92接著形成於第一凹部86中且第二凹部87中的第一磊晶材料91上方。在一些實施例中,磊晶源極/汲極區92可在第二奈米結構54上施加應力,從而改良效能。如第12C圖中所示,磊晶源極/汲極區92形成於第一凹部86及第二凹部87中,使得每個虛設閘極76設置於各別鄰近的成對磊晶源極/汲極區92之間。在一些實施例中,第一間隔物81用來將磊晶源極/汲極區92與虛設閘極76分離,且第一內部間隔物90用來將磊晶源極/汲極區92與奈米結構55分離開適當的橫向距離,使得磊晶源極/汲極區92不會與所得奈米場效電晶體的後續形成的閘極發生短路連接。
可藉由遮蔽p型區50P (例如,p型金氧半導體區)來形成n型區50N (例如,n型金氧半導體區)中的磊晶源極/汲極區92。接著,磊晶源極/汲極區92在n型區50N中的第一凹部86及第二凹部87中磊晶生長。磊晶源極/汲極區92可包括適於n型奈米場效電晶體的任何可接受的材料。舉例而言,若第二奈米結構54為矽,則磊晶源極/汲極區92可包括在第二奈米結構54上施加拉伸應變之材料,諸如矽、碳化矽、摻雜磷的碳化矽、磷化矽或類似者。磊晶源極/汲極區92可具有自奈米結構55之各別上表面隆起之表面且可具有小面。
可藉由遮蔽n型區50N (例如,n型金氧半導體區)來形成p型區50P (例如,p型金氧半導體區)中的磊晶源極/汲極區92。接著,磊晶源極/汲極區92在p型區50P中的第一凹部86及第二凹部87中磊晶生長。磊晶源極/汲極區92可包括適於p型奈米場效電晶體的任何可接受的材料。舉例而言,若第一奈米結構52為矽鍺,則磊晶源極/汲極區92可包含在第一奈米結構52上施加壓縮應變之材料,諸如矽鍺、摻雜硼的矽鍺、鍺、錫鍺或類似者。磊晶源極/汲極區92亦可具有自多層堆疊56的各別表面隆起的表面且可具有小面。
與前文論述的用於形成輕度摻雜的源極/汲極區繼之以進行退火的製程類似,可運用摻雜劑對磊晶源極/汲極區92、第一奈米結構52、第二奈米結構54及/或基板50進行佈植以形成源極/汲極區。源極/汲極區可具有在大約1×1019 原子/cm3 與大約1×1021 原子/cm3 之間的雜質濃度。源極/汲極區的n型及/或p型雜質可為前文論述的任何雜質。在一些實施例中,磊晶源極/汲極區92可在生長過程中進行原位摻雜。
作為用來在n型區50N及p型區50P中形成磊晶源極/汲極區92的磊晶製程的結果,磊晶源極/汲極區92的上表面具有橫向向外擴展超出奈米結構55之側壁的小面。在一些實施例中,此等小面使得同一奈米場效電晶體之相鄰磊晶源極/汲極區92合併,如藉由第12B圖所示。在其他實施例中,如第12D圖所示,完成磊晶製程之後,相鄰的磊晶源極/汲極區92保持分離。在第12B圖及第12D圖中所示的實施例中,第一間隔物81可形成至淺溝槽隔離區68的頂表面,藉此阻擋磊晶生長。在一些其他實施例中,第一間隔物81可覆蓋奈米結構55之側壁的數個部分以進一步阻擋磊晶生長。在一些其他實施例中,可調整用於形成第一間隔物81的間隔物蝕刻來移除間隔物材料以允許磊晶生長的區延伸至淺溝槽隔離區68的表面。
磊晶源極/汲極區92可包含一或多個半導體材料層。舉例而言,磊晶源極/汲極區92可包含第一半導體材料層92A,第二半導體材料層92B及第三半導體材料層92C。可將任意數目個半導體材料層用於磊晶源極/汲極區92。第一半導體材料層92A、第二半導體材料層92B及第三半導體材料層92C之每一者可由不同的半導體材料形成且可摻雜達不同的摻雜劑濃度。在一些實施例中,第一半導體材料層92A的摻雜劑濃度可小於第二半導體材料層92B的摻雜劑濃度且大於第三半導體材料層92C的摻雜劑濃度。在磊晶源極/汲極區92包含三個半導體材料層的實施例中,可沈積第一半導體材料層92A,第二半導體材料層92B可沈積在第一半導體材料層92A上方,且第三半導體材料層92C可沈積在第二半導體材料層92B上方。
第12E圖例示實施例,在該實施例中,第一奈米結構52之側壁為凹陷的,第一內部間隔物90之外部側壁為凹陷的,且第一內部間隔物90自第二奈米結構54的側壁凹入。如第12E圖中所示,磊晶源極/汲極區92可與第一內部間隔物90接觸地形成,且可延伸越過第二奈米結構54的側壁。
在第13A圖至第13C圖中,第一層間介電質(interlayer dielectric;ILD) 96沈積於第12A圖至第12C圖中所示的結構上方。第一層間介電質96可由介電材料形成,且可藉由諸如化學氣相沈積、電漿增強化學氣相沈積(plasma-enhanced chemical vapor deposition;PECVD)或流動化學氣相沈積之任何合適的方法進行沈積。介電材料可包括磷矽玻璃(phospho-silicate glass;PSG)、硼矽玻璃(boro-silicate glass;BSG)、硼磷矽玻璃(boron-doped phospho-silicate glass;BPSG)、無摻雜矽玻璃(undoped silicate glass;USG)或類似者。可使用藉由任何可接受的製程形成的其他絕緣材料。在一些實施例中,接觸蝕刻終止層(contact etch stop layer;CESL) 94設置於第一層間介電質96與磊晶源極/汲極區92、罩幕78及第一間隔物81之間。接觸蝕刻終止層94可包含諸如氮化矽、氧化矽、氮氧化矽或類似者之介電材料,該介電材料具有不同於上覆第一層間介電質96之材料的蝕刻速率。
在第14A圖至第14C圖中,可執行諸如化學機械研磨之平坦化製程以使第一層間介電質96的頂表面與虛設閘極76或罩幕78的頂表面平齊。平坦化製程亦可移除虛設閘極76上之罩幕78及第一間隔物81的沿罩幕78之側壁的數個部分。在平坦化製程之後,虛設閘極76、第一間隔物81及第一層間介電質96的頂表面在製程變化內互相平齊。因此,虛設閘極76的頂表面通過第一層間介電質96暴露。在一些實施例中,可保留罩幕78,此情形下,平坦化製程使第一層間介電質96的頂表面與罩幕78及第一間隔物81的頂表面平齊。
在第15A圖至第15C圖中,虛設閘極76及罩幕78 (若存在)在一或多個蝕刻步驟中被移除,使得形成第三凹部98。亦移除第三凹部98中虛設閘極介電質60的數個部分。在一些實施例中,藉由各向異性乾式蝕刻製程移除虛設閘極76及虛設閘極介電質60。舉例而言,蝕刻製程可包括使用與第一層間介電質96或第一間隔物81相比以更快速率選擇性地蝕刻虛設閘極76之反應氣體的乾式蝕刻製程。第三凹部98中之每一者暴露且/或上覆奈米結構55的數個部分,該些部分在後續完成之奈米場效電晶體中充當通道區。充當通道區的奈米結構55之數個部分設置於鄰近的成對磊晶源極/汲極區92之間。在移除期間,虛設閘極介電質60可在蝕刻虛設閘極76時用作蝕刻終止層。接著可在移除虛設閘極76之後移除虛設閘極介電質60。
在第16A圖至第16C圖中,移除第一奈米結構52從而使第三凹部98延伸。可藉由執行使用對第一奈米結構52之材料具有選擇性之蝕刻劑的諸如濕蝕刻或類似者的各向同性蝕刻製程來移除第一奈米結構52,而與第一奈米結構52相比,第二奈米結構54、基板50、淺溝槽隔離區68保持相對未受蝕刻。在第一奈米結構52包括例如矽鍺且第二奈米結構54A至54C包括例如矽或 碳化矽之實施例中,可使用四甲基氫氧化銨、氫氧化銨或類似者來移除第一奈米結構52。
在第17A圖至第17C圖中,形成閘極介電層100及閘極電極102用於替代閘極。閘極介電層100保形地沈積於第三凹部98中。閘極介電層100可形成於基板50的頂表面及側壁上且第二奈米結構54的頂表面、側壁及底表面上。閘極介電層100亦可沈積於第一層間介電質96、接觸蝕刻終止層94、第一間隔物81及淺溝槽隔離區68的頂表面上以及第一間隔物81及第一內部間隔物90的側壁上。
根據一些實施例,閘極介電層100包含諸如氧化物、金屬氧化物、類似者或其組合的一或多個電介質層。舉例而言,在一些實施例中,閘極介電層100可包含氧化矽層及氧化矽層上方的金屬氧化物層。在一些實施例中,閘極介電層100包括高k介電材料,且在此等實施例中,閘極介電層100可具有大於大約7.0的k值且可包括金屬氧化物或鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛之矽酸鹽及其組合。閘極介電層100的結構在n型區50N及p型區50P中可相同或不同。閘極介電層100的形成方法可包括分子束沈積(molecular-beam deposition;MBD)、原子層沈積、電漿增強化學氣相沈積或類似者。
閘極電極102分別沈積於閘極介電層100上方,且填充第三凹部98的剩餘部分。閘極電極102可包括諸如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢的含有金屬的材料、其組合,或其多層。舉例而言,儘管第17A圖及第17C圖中例示單層閘極電極102,但閘極電極102可包含任意數目個襯裡層、任意數目個功函數調諧層及填充材料。構成閘極電極102之任何層組合可沈積於n型區50N中相鄰的第二奈米結構54之間及第二奈米結構54A與基板50之間,且可沈積於p型區50P中相鄰的第一奈米結構52之間。
可同時在n型區50N及p型區50P中形成閘極介電層100,使得每個區中之閘極介電層100由相同材料形成,且閘極電極102可同時形成,使得每個區中之閘極電極102由相同材料形成。在一些實施例中,每個區中之閘極介電層100可藉由不同製程形成,使得閘極介電層100可係不同材料且/或具有不同數目個層,且/或每個區中之閘極電極102可藉由不同製程形成,使得閘極電極102可係不同材料且/或具有不同數目個層。可使用多種遮蔽步驟以在使用不同製程時遮蔽並暴露適當的區。
在填充第三凹部98之後,可執行諸如化學機械研磨之平坦化製程以移除閘極介電層100及閘極電極102之材料的過多部分,過多的部分係在第一層間介電質96的頂表面上方。閘極電極102材料及閘極介電層100的剩餘部分因此形成所得奈米場效電晶體的替代閘極結構。閘極電極102及閘極介電層100可統稱為閘極結構103。
在第18A圖至第18C圖中,閘極結構103 (包括閘極介電層100及對應的上覆閘極電極102)經凹入,使得在閘極結構103上方及第一間隔物81的相對部分之間直接形成凹部。包含諸如氮化矽、氮氧化矽或類似者之一或多個介電材料層的閘極罩幕104填充在凹部中,繼之以平坦化製程以移除在第一層間介電質96上方延伸的介電材料的過多部分。後續形成的閘極觸點(諸如下文關於第20A圖至第20C圖所論述的閘極觸點114)穿透閘極罩幕104而與凹入的閘極電極102的頂表面接觸。
如第18A圖至第18C圖進一步所例示,第二層間介電質106沈積於第一層間介電質96上方及閘極罩幕104上方。在一些實施例中,第二層間介電質106為藉由流動化學氣相沈積形成的流動薄膜。在一些實施例中,第二層間介電質106由諸如磷矽玻璃、硼矽玻璃、硼磷矽玻璃、無摻雜矽玻璃或類似者的介電材料形成,且可藉由諸如化學氣相沉積、電漿增強化學氣相沈積或類似者的任何合適方法進行沈積。
在第19A圖至第19C圖中,蝕刻第二層間介電質106、第一層間介電質96、接觸蝕刻終止層94及閘極罩幕104來形成第四凹部108,從而暴露磊晶源極/汲極區92及/或閘極結構103之表面。第四凹部108可藉由進行使用諸如反應離子蝕刻、中性束蝕刻或類似者的各向異性蝕刻製程的蝕刻形成。在一些實施例中,第四凹部108可使用第一蝕刻製程蝕刻穿過第二層間介電質106及第一層間介電質96;可使用第二蝕刻製程蝕刻穿過閘極罩幕104;且接著可使用第三蝕刻製程蝕刻穿過接觸蝕刻終止層94。可在第二層間介電質106上方形成並圖案化諸如光阻劑之罩幕以遮蔽第二層間介電質106之數個部分免受第一蝕刻製程及第二蝕刻製程影響。在一些實施例中,蝕刻製程可為過蝕刻(over-etch),且因此,第四凹部108延伸進磊晶源極/汲極區92及/或閘極結構103中,且第四凹部108的底部可與磊晶源極/汲極區92及/或閘極結構103的頂表面平齊(例如,處於相同位準或與基板50的距離相等)或低於該頂表面(例如,離基板50更近)。儘管第19C圖例示第四凹部108為在相同橫截面中暴露磊晶源極/汲極區92及閘極結構103,但在各種實施例中,磊晶源極/汲極區92及閘極結構103可在不同的橫截面中暴露,從而減小後續形成的觸點的短路連接風險。
在形成第四凹部108之後,在磊晶源極/汲極區92上方形成第一矽化物區110。在一些實施例中,第一矽化物區110藉由以下方式形成:首先在磊晶源極/汲極區92的暴露部分上方沈積能夠與下伏磊晶源極/汲極區92的半導體材料(例如,矽、矽鍺、鍺)發生反應的金屬(未單獨例示)來形成矽化物或鍺化物區,該金屬係諸如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或其合金;接著執行熱退火製程來形成第一矽化物區110。接著藉由例如蝕刻製程移除所沈積金屬的未反應部分。儘管將第一矽化物區110稱為矽化物區,但第一矽化物區110亦可係鍺化物區或矽鍺化物區(例如,包含矽化物及鍺化物的區)。在一實施例中,第一矽化物區110包含矽化鈦(TiSi),且具有大約2 nm至大約10 nm範圍內的厚度。
在第20A圖至第20C圖中,源極/汲極觸點112及閘極觸點114 (亦稱為觸點插座)形成於第四凹部108中。源極/汲極觸點112及閘極觸點114可各自包含諸如阻障層、擴散層及填充材料層之一或多個層。舉例而言,在一些實施例中,源極/汲極觸點112及閘極觸點114各自包括阻障層及導電材料,且各自電連接至下伏導電特徵(例如,閘極電極102及/或第一矽化物區110)。閘極觸點114電連接至閘極電極102,且源極/汲極觸點112電連接至第一矽化物區110。阻障層可包括鈦、氮化鈦、鉭、鉭氮化物或類似者。導電材料可係銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似者。可執行諸如化學機械研磨之平坦化製程以自第二層間介電質106的表面移除過多材料。磊晶源極/汲極區92、第二奈米結構54及閘極結構103 (包括閘極介電層100及閘極電極102)可統稱為電晶體結構109。電晶體結構109可形成於設備層中,其中第一互連結構(諸如下文關於第21A圖至第21C圖所論述的前側互連結構120)形成於其前側上方,且第二互連結構(諸如下文關於第27A圖至第27C圖所論述的背側互連結構140)可形成於其背側上方。儘管設備層被描述為具有奈米場效電晶體,但是其他實施例可包括具有不同類型之電晶體(例如,平面場效電晶體、鰭片式場效電晶體、薄膜電晶體(thin film transistor;TFT)或類似者)的設備層。
儘管第20A圖至第20C圖例示延伸至磊晶源極/汲極區92中之每一者的源極/汲極觸點112,但是可自磊晶源極/汲極區92中之某些磊晶源極/汲極區省略源極/汲極觸點112。類似地,儘管第20A圖至第20C圖例示閘極觸點114延伸至閘極結構103中的每一者,但閘極觸點114可自閘極結構103中的某些結構省略。舉例而言,如下文更詳細地解釋,可後續穿過磊晶源極/汲極區92及/或閘極結構103中之一或多者的背側附接導電特徵(例如,背側通孔或電源軌)。對於此等特定磊晶源極/汲極區92及/或閘極結構103,源極/汲極觸點112及/或閘極觸點114分別可被省略或者可為未電連接至任何上覆導電接線(諸如下文關於第21A圖至第21C圖所論述的第一導電特徵122)的虛設觸點。
第21A圖至第28C圖例示電晶體結構109上方形成前側互連結構及背側互連結構的中間步驟。前側互連結構及背側互連結構可各自包含電連接至形成於基板50及/或電晶體結構109上方的奈米場效電晶體的導電特徵。第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖及第28A圖例示第1圖中所示的參考橫截面A-A’。第21B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖及第28B圖例示第1圖中所示的參考橫截面B-B’。第21C圖、第22C圖、第23C圖、第24C圖、第25C圖、第26C圖、第27C圖及第28C圖圖例示第1圖中所示的參考橫截面C-C’。第21A圖至第28C圖中描述的製程步驟可應用於n型區50N及p型區50P兩者。如上所提及,背側導電特徵(例如,背側通孔或如下文更詳細地描述的電源軌)可連接至磊晶源極/汲極區92及/或閘極結構103中的一或多者。因此,源極/汲極觸點112可視情況自磊晶源極/汲極區92省略。
在第21A圖至第21C圖中,前側互連結構120形成於第二層間介電質106上。前側互連結構120可被稱為前側互連結構,此係因為該前側互連結構形成於電晶體結構109的前側(例如,電晶體結構109的形成有主動設備的一側)上。
前側互連結構120可包含形成於一或多個堆疊的第一介電層124中的一或多層第一導電特徵122。堆疊的第一介電層124中之每一者可包含諸如低k介電材料、超低k (extra low-k;ELK)介電材料或類似者的介電材料。可使用諸如化學氣相沈積、原子層沈積、物理氣相沈積、電漿增強化學氣相沈積或類似者之適當製程來沈積第一介電層124。
第一導電特徵122可包含導電接線及使導電接線層互連的導電通孔。導電通孔可延伸穿過第一介電層124中的各別第一介電層以在導電接線層之間提供垂直連接。可通過諸如鑲嵌製程、雙重鑲嵌製程或類似者之任何可接受製程來形成第一導電特徵122。
在一些實施例中,可使用鑲嵌製程來形成第一導電特徵122,在鑲嵌製程中利用光微影及蝕刻技術的組合來對各別第一介電層124進行圖案化以形成對應於第一導電特徵122的所要圖案的溝槽。可沈積可選擴散阻障層及/或可選黏合層且接著可用導電材料填充溝槽。用於阻障層的合適材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、其組合或類似者,且用於導電材料的合適材料包括銅、銀、金、鎢、鋁、其組合或類似者。在一實施例中,可藉由沈積銅或銅合金的晶種層且藉由電鍍填充溝槽來形成第一導電特徵122。化學機械平坦化(chemical mechanical planarization;CMP)製程或類似者可用於自各別第一介電層124的表面移除過多導電材料且平坦化第一介電層124及第一導電特徵122的表面以供後續處理。
第21A圖至第21C圖例示前側互連結構120中五個第一導電特徵122層及五個第一介電層124。然而,應瞭解,前側互連結構120可包含設置在任意數目個第一介電層124中的任意數目個第一導電特徵122。前側互連結構120可電連接至閘極觸點114及源極/汲極觸點112以形成功能電路。在一些實施例中,由前側互連結構120形成的功能電路可包含邏輯電路、記憶電路、影像感測電路或類似者。
在第22A圖至第22C圖中,藉由第一接合層152A及第二接合層152B (統稱為接合層152)將載體基板150接合至前側互連結構120的頂表面。載體基板150可係玻璃載體基板、陶瓷載體基板、晶圓(例如,矽晶圓)或類似者。載體基板150可在後續處理步驟期間以及在完成的設備中提供結構支撐。
在各種實施例中,可使用諸如介電質對介電質接合或類似者之合適技術將載體基板150接合到前側互連結構120。介電質對介電質接合可包含使第一接合層152A沈積在前側互連結構120上。在一些實施例中,第一接合層152A包含藉由化學氣相沈積、原子層沈積、物理氣相沈積或類似者沈積的氧化矽(例如,高密度電漿(high density plasma;HDP)氧化物或類似者)。第二接合層152B同樣可係在使用例如化學氣相沈積、原子層沈積、物理氣相沈積、熱氧化或類似者進行接合之前形成於載體基板150之表面上的氧化物層。其他合適的材料可用於第一接合層152A及第二接合層152B。
介電質對介電質接合製程可進一步包括對第一接合層152A及第二接合層152B中之一或多者應用表面處理。表面處理可包括電漿處理。電漿處理可在真空環境中執行。在電漿處理之後,表面處理可進一步包括可應用至接合層152中之一或多者的清洗製程(例如,用去離子水或類似者沖洗)。接著,將載體基板150與前側互連結構120對準,且將兩者彼此壓靠以起始載體基板150至前側互連結構120的預接合。可在室溫(例如,在大約21℃與大約25℃之間)下執行預接合。在預接合之後,可藉由例如將前側互連結構120及載體基板150加熱至例如大約170℃至大約400℃的溫度來應用退火製程。
進一步地,在第22A圖至第22C圖中,在將載體基板150接合到前側互連結構120之後,可翻轉設備,使得電晶體結構109的背側面向上。電晶體結構109的背側可指與電晶體結構109的在其上形成主動設備的前側相對的一側。
在第23A圖至第23C圖中,可將薄化製程應用於基板50的背側。薄化製程可包含平坦化製程(例如,機械磨削、化學機械平坦化或類似者)、回蝕製程、其組合,或類似者。薄化製程可暴露第一磊晶材料91的與前側互連結構120相背對的表面。另外,基板50的一部分在薄化製程之後可保持於閘極結構103 (例如,閘極電極102及閘極介電層100)以及奈米結構55上方。如第23A圖至第23C圖中所示,基板50之背側表面、第一磊晶材料91、淺溝槽隔離區68及鰭片66在薄化製程之後彼此平齊。
在第24A圖至第24C圖中,鰭片66及基板50之剩餘部分經移除且用第二介電層125替代。鰭片66及基板50可使用合適蝕刻製程,諸如各向同性蝕刻製程(例如,濕式蝕刻製程)、各向異性蝕刻製程(例如,乾式蝕刻製程)或類似者來蝕刻。蝕刻製程可為對於鰭片66及基板50之材料係選擇性(例如,相較於淺溝槽隔離區68、閘極介電層100、磊晶源極/汲極區92及第一磊晶材料91以較快速率蝕刻鰭片66及基板50的材料)的蝕刻製程。在蝕刻鰭片66及基板50之後,淺溝槽隔離區68、閘極介電層100、磊晶源極/汲極區92及第一磊晶材料91的表面可被暴露。
第二介電層125接著於凹部中沈積於電晶體結構109的背側上,該些凹部藉由移除鰭片66及基板50來形成。第二介電層125可沈積於淺溝槽隔離區68、閘極介電層100及磊晶源極/汲極區92上方。第二介電層125可與淺溝槽隔離區68、閘極介電層100、磊晶源極/汲極區92及第一磊晶材料91的表面實體接觸。第二介電層125可大體上類似於上文關於第18A圖至第18C圖描述的第二層間介電質106。舉例而言,第二介電層125可由與第二層間介電質106類似的材料且使用類似製程來形成。如第24A圖至第24C圖中所示,化學機械平坦化製程或類似者可用以移除第二介電層125的材料,使得第二介電層125之頂表面與淺溝槽隔離區68及第一磊晶材料91的頂表面平齊。
在第25A圖至第25C圖中,第一磊晶材料91經移除以形成第五凹部128,且第二矽化物區129形成於第五凹部128中。第一磊晶材料91可藉由合適蝕刻製程來移除,該蝕刻製程可為各向同性蝕刻製程,諸如濕式蝕刻製程。蝕刻製程對於第一磊晶材料91之材料可具有高蝕刻選擇性。因此,第一磊晶材料91可經移除而不顯著地移除第二介電層125、淺溝槽隔離區68或磊晶源極/汲極區92的材料。第五凹部128可暴露淺溝槽隔離區68之側壁、磊晶源極/汲極區92的背側表面,及第二介電層125的側壁。
第二矽化物區129可接著於磊晶源極/汲極區92之背側上的第五凹部128中形成。第二矽化物區129可類似於上文關於第19A圖至第19C圖所描述的第一矽化物區110。舉例而言,第二矽化物區129可由類似於第一矽化物區110的材料且使用類似製程來形成。
在第26A圖至第26C圖中,背側通孔130形成於第五凹部128中。背側通孔130可延伸穿過第二介電層125及淺溝槽隔離區68,且可經由第二矽化物區129電連接至磊晶源極/汲極區92。背側通孔130可類似於上文關於第20A圖至第20C圖描述的源極/汲極觸點112。舉例而言,背側通孔130可由類似於源極/汲極觸點112的材料且使用類似製程來形成。平坦化製程(例如,化學機械平坦化、磨削、回蝕或類似者)可經執行以移除背側通孔130的形成於淺溝槽隔離區68及/或第二介電層125上方的過多部分。
在第27A圖至第27C圖中,背側互連結構140形成於第二介電層125及淺溝槽隔離區68上。背側互連結構140可被稱為背側互連結構,此係因為該背側互連結構形成於電晶體結構109的背側(例如,基板50及/或電晶體結構109的主動設備形成於上面的相對側)上。
背側互連結構140可包含形成於一或多個堆疊的第二介電層(例如,第二介電層132A至132C,統稱為第二介電層132)中的第二導電特徵(例如,導電接線133、導電通孔134、導電接線135、導電通孔136,及導電接線137)之一或多個層。堆疊的第二介電層132中之每一者可包含介電材料,諸如低k介電材料、超低k(extra low-k;ELK)介電材料,或類似者。第二介電層132可使用適當製程,諸如化學氣相沈積、原子層沈積、物理氣相沈積、電漿增強化學氣相沈積或類似者來形成。
背側互連結構140包含互連導電接線133、135及137之數個層的導電通孔134及136。導電通孔134/136可延伸穿過第二介電層132中的各別介電層以提供導電接線133/135/137之數個層之間的垂直連接。舉例而言,導電通孔134可將導電接線133耦接至導電接線135,且導電通孔136可將導電接線135耦接至導電接線137。導電接線133/135/137及導電通孔134/136可使用如上文結合第一導電特徵122描述的類似製程及類似材料,包括單一或雙重鑲嵌製程、經由任何可接受的製程或類似者來形成。
導電接線133形成於第二介電層132A中。形成導電接線133可包括使用例如光微影製程及蝕刻製程的組合圖案化第二介電層132A中之凹部。第二介電層132A中凹部的圖案可對應於導電接線133的圖案。接著藉由在凹部中沈積導電材料來形成導電接線133。在一些實施例中,導電接線133包含金屬層,該金屬層可係單層或包含由不同材料形成的複數個子層的複合層。在一些實施例中,導電接線133包含銅、鋁、鈷、鎢、鈦、鉭、釕或類似者。可沈積可選擴散阻障層及/或可選黏合層,之後用導電材料填充凹部。用於阻障層/黏合層之合適的材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭或類似者。導電接線133可使用例如化學氣相沈積、原子層沈積、物理氣相沈積、電鍍或類似者來形成。導電接線133穿過背側通孔130及第二矽化物區129電連接至磊晶源極/汲極區92。平坦化製程(例如,化學機械平坦化、磨削、回蝕或類似者)可被執行以移除導電接線133的形成於第二介電層132A上方的過多部分。
導電接線135及137以及導電通孔134及136可使用類似材料以類似方式形成。在一些實施例中,導電接線133穿過第二介電層132A以單一鑲嵌製程形成,而導電接線135及導電通孔134穿過第二介電層132B以雙重鑲嵌製程形成,且第二接線137及導電通孔136亦穿過第二介電層132C以雙重鑲嵌製程形成。
第27A圖至第27C圖例示背側互連結構140中第二導電接線133/135/137的三個層及第二介電層132A/132B/132C的三個層。然而,應瞭解,背側互連結構140可包含設置於任何數目個第二介電層132中的任何數目個導電接線及導電通孔。背側互連結構140可電連接至背側通孔130以形成功能電路。在一些實施例中,藉由背側互連結構140結合前側互連結構120形成的功能電路可包含邏輯電路、記憶電路、影像感測器電路或類似者。
下文更詳細地所論述,第二介電層132B中之導電接線135可包含電源軌及信號接線(結合第27A圖至第27C圖且其後分離地識別並標記)。電源軌可用以提供電壓源至積體電路,且信號接線可用以在積體電路之元件之間傳輸信號。
在第28A圖至第28C圖中,鈍化層144、焊球下金屬(under bump metallurgies;UBM) 146及外部連接器148形成於背側互連結構140上方。鈍化層144可包含諸如聚苯并㗁唑(polybenzoxazole;PBO)、聚亞醯胺、苯並環丁烯(benzocyclobutene;BCB)或類似者的聚合物。替代地,鈍化層144可包括非有機介電材料,諸如氧化矽、氮化矽、碳化矽、氮氧化矽或類似者。鈍化層144可藉由例如化學氣相沈積、物理氣相沈積、原子層沈積或類似者沈積。
焊球下金屬146在背側互連結構140中於導電接線137及第二介電層132C上方穿過鈍化層144形成,且外部連接器148形成於焊球下金屬146上。在不形成導電接線137之一些實施例中,鈍化層144直接形成於導電接線135及第二介電層132B上方。焊球下金屬146可包含藉由電鍍製程或類似者形成的一或多層銅、鎳、金或類似者。外部連接器148 (例如,焊球)形成於焊球下金屬146上。外部連接器148的形成可包括將焊球放置在焊球下金屬146的暴露部分上且使焊球回流。在一些實施例中,外部連接器148的形成包括執行電鍍步驟以在最上層導電接線137上方形成焊料區且接著使焊料區回流。焊球下金屬146及外部連接器148可用於提供與其他電組件的輸入/輸出連接,該其他電組件係諸如其他設備晶粒、再分配結構、印刷電路板(printed circuit board;PCB)、母板或類似者。焊球下金屬146及外部連接器148亦可被稱為背側輸入/輸出墊,該背側輸入/輸出墊可向上述奈米場效電晶體提供信號、電源電壓及/或電源接地連接。
第29A圖至第29B圖例示背側佈線,包括背側互連結構140的例示性佈局。背側互連結構140可包含用於對應佈線的電源區140P及信號區140S以係大體上彼此分離的。信號區140S包括電晶體結構109 (例如,磊晶源極/汲極區92及/或閘極結構103,諸如閘極電極102)及背側通孔130至導電接線135的佈線。電源區140P包括自電晶體結構109及背側通孔130至電源軌135P的佈線。
第29A圖至第29B圖例示自電晶體結構109至信號接線135S及電源軌135P的包括背側互連結構140之背側佈線的例示性佈局。根據一些實施例,信號接線135S及電源軌135P為導電接線135的數個部分。然而,熟習此項技術者應理解,信號接線及/或電源軌替代而言可形成為其他導電接線,諸如導電接線133及導電接線137的部分。藉由在導電接線135之間,諸如在導電接線之同一階層內形成信號接線135S及電源軌135P,導電接線133可更大複雜性及密度自電晶體結構109佈線至信號接線135S及電源軌135P。
如進一步例示,背側互連結構140可分離成複數個信號區140S及電源區140P。信號區140S大體上或整個含有自一些電晶體結構109至信號接線135S的佈線。電源區140P大體上或整個含有自其他電晶體結構109至電源軌135P的佈線。分離信號區140S與電源區140P之間的背側佈線達成益處,諸如減小電源區140P之更寬佈線對信號區140S之更狹窄佈線可具有的寄生電容之效應。根據一些實施例,電源區140P之佈線大體上直接形成於對應電晶體結構109上方,以便使電源區140P的側向寬度最小化。此設計佈局經由信號區140S提供可用於佈線中之密度的更多側向空間及複雜性。
參看第29A圖,第一磊晶源極/汲極區92A、第二磊晶源極/汲極區92B、第三磊晶源極/汲極區92C及第四磊晶源極/汲極區92D中的每一者可電連接至背側互連結構140。為了簡單,磊晶源極/汲極區92A/92B/92C/92D例示為相鄰於彼此且係在同一B-B’橫截面中。然而,熟習此項技術者應理解,磊晶源極/汲極區92A/92B/92C/92D中之一些或全部可並非相鄰於彼此及/或定位於不同B-B’橫截面圖中。
在相鄰的磊晶源極/汲極區92A/92B/92C/92D之狀況下,磊晶源極/汲極區92A/92B/92C/92D可藉由一或多個混合式鰭片161分離。混合式鰭片161可藉由在多層堆疊64中蝕刻出凹部在形成鰭片66(參見第4圖)之後且在形成虛設閘極76(參見第5圖)之前來形成。混合式鰭片161可接著藉由使用保形沈積製程,諸如化學氣相沈積、原子層沈積、電漿增強化學氣相沈積或類似者在鰭片66之側壁上沈積犧牲層(未獨立例示)來形成。在一些實施例中,犧牲材料為具有與第一半導體材料或第二半導體材料相同之材料成份的半導體材料(例如,矽鍺、矽或類似者)。犧牲材料可界定犧牲材料上方在鰭片66之間且犧牲材料之側壁之間的凹部。一或多個絕緣材料沈積於凹部中以形成混合式鰭片161。舉例而言,襯裡及填充材料(未獨立例示)可藉由化學氣相沈積、原子層沈積、電漿增強化學氣相沈積或類似者沈積於凹部中。襯裡可包含低k材料,諸如氧化物、碳氧化矽(SiOC)、矽氧碳氮化物(SiOCN)、氮氧化矽(SiON)或類似者,且填充材料可包含氧化物,諸如可流動化學氣相沈積或類似者(未具體說明的分離組份)。在一些實施例中,襯裡及填充材料之一部分可經部分蝕刻,且高k材料,諸如氧化鉿(HfO)、氧化鋯(ZrO)或類似者可於襯裡及填充材料上方沈積於該凹部中。
混合式鰭片161提供相鄰磊晶源極/汲極區92之間的絕緣邊界,該等源極/汲極區可具有不同導電類型。在形成了混合式鰭片161之後,犧牲材料可與移除第一半導體材料及/或第二半導體材料同時被移除以界定奈米結構55。在一些實施例中,磊晶源極/汲極區92可接觸混合式鰭片161之側壁,且第一層間介電質96之一部分可沈積於混合式鰭片161與淺溝槽隔離區68之間。
如所例示,第一磊晶源極/汲極區92A及第四磊晶源極/汲極區92D可經由背側互連結構140的不同電源區140P耦接至電源軌135P。第一磊晶源極/汲極區92A及第四磊晶源極/汲極區92D因此可不需要至前側互連結構120的源極/汲極觸點112。此外,第二磊晶源極/汲極區92B及第三磊晶源極/汲極區92C可經由背側互連結構140的同一信號區140S耦接至信號接線135S。如上文所論述,電源區140P之大體上垂直的佈局提供更多可用側向空間用於信號區140S。儘管僅第二磊晶源極/汲極區92B及第三磊晶源極/汲極區92C例示為進一步耦接至前側互連結構120,但磊晶源極/汲極區92A/92B/92C/92D中之任一者或全部可耦接至前側互連結構120及背側互連結構140中的一或兩者。類似地,磊晶源極/汲極區92A/92B/92C/92D中之任一者或全部可經由背側互連結構140耦接至信號接線135S或電源軌135P。請注意,單一積體電路晶粒可包含複數個上述組態。
參看第29B圖,如上文結合第27A圖至第27C圖所論述,額外第二介電層132 (例如,第二介電層132C)及額外導電接線(例如,導電接線137)可形成於導電接線135上方以完成背側互連結構140。此外,如上文結合28A至第28C圖所論述,鈍化層144、焊球下金屬146及外部連接器148可形成於背側互連結構140上方。在一些實施例中,信號區140S限於信號接線135S,此情形意謂,額外介電層132之全部可用於導電接線137以將電源接線135P電耦接至外部連接器148。在並未分離地例示之一些實施例中,額外介電層132之數個部分可用於導電接線137以將信號接線135S中的一些電耦接至外部連接器148中的一些。如所例示,導電接線137、焊球下金屬146及外部連接器148具有空間自由度以在必要時在信號區140S的數個部分上方延伸。然而,在一些實施例中,通過電源區140中之一些或全部的佈線可保持在對應磊晶源極/汲極區(例如,第一磊晶源極/汲極區92A及第四磊晶源極/汲極區92B)上方大體上垂直地對準。
在第30A圖至第30E圖中,背側互連結構140可包含第一電晶體結構109A之第一磊晶源極/汲極區92A(參見第30A圖)與第二電晶體結構109B之第二磊晶源極/汲極區92B(參見第30B圖)之間的汲極至汲極信號連接。電晶體結構109A及109B可為電晶體之陣列的部分,且可相鄰於彼此或自彼此移位。如所例示,第一磊晶源極/汲極區92A及第二磊晶源極/汲極區92B可經由背側互連結構140之信號接線135S中的一者電連接至彼此。在並未分離地例示之一些實施例中,信號接線135S可經由焊球下金屬145中之一者及外部連接器148中的一者進一步電連接至外部信號源。
第30C圖至第30E圖例示來自第30A圖及第30B圖之第一磊晶源極/汲極區92A及第二磊晶源極/汲極區92B可如何經由背側互連結構140電連接至彼此的示意性平面圖。舉例而言,第一磊晶源極/汲極區92A可耦接至第一背側通孔130A,且第二磊晶源極/汲極區可耦接至第二背側通孔130B。此外,第一背側通孔130A可耦接至第一導電接線133A,且第二背側通孔130B可耦接至第二導電接線133B。第一導電接線133A及第二導電接線133B中的每一者可分別耦接至第一導電通孔134A及第二導電通孔134B,且彼等導電通孔134A及134B可耦接至信號接線135S。信號接線135S可設置於與其他信號接線135S及電源軌135P相同的介電層(例如,第二介電層132B)中,此情形有利地減小背側互連結構140中層的數目。此外,如上文所提及,電插入於背側通孔130與導電接線之間的導電接線133及導電通孔134(例如,信號接線135S及電源軌135P)之額外層允許背側互連結構140中的更大複雜性及密度。請注意,例示於第30C圖至第30E圖中之佈局中的一些或全部可形成於同一積體電路晶粒內。
第30C圖、第30D圖及第30E圖例示根據一些實施例的用於連接第一磊晶源極/汲極區92A及第二磊晶源極/汲極區與信號接線135S的不同佈局。如第30C圖中所例示,第一磊晶源極/汲極區92A及第二磊晶源極/汲極區92B可為單元,諸如記憶體單元的部分。第一磊晶源極/汲極區92A及第二磊晶源極/汲極區92B可係在彼此附近,但不必相鄰。如第30D圖及第30E圖中所例示,第一磊晶源極/汲極區92A及第二磊晶源極/汲極區92B可為相同或不同單元的部分,如藉由分隔器160所指示。另外,在第30C圖及第30D圖中,導電接線133A及導電接線133B可係在信號接線135S的同一側上,而在第30E圖中,導電接線133A及導電接線133B可係在信號接線135S的相對側上。
第31A圖至第31D圖例示背側互連結構140的形成,該背側互連結構包含自第一電晶體結構109A之磊晶源極/汲極區92A至第二電晶體結構109B之閘極結構103B(例如,閘極電極102B)的汲極至閘極信號連接。類似地,如上文關於第24A圖至第26C圖所論述,在將載體基板150接合至前側互連結構120且翻轉結構向上使得電晶體結構109面向上之後,基板50之所有或部分可經移除以形成第二介電層125,且第一磊晶材料91可經移除以形成背側通孔130。第31A圖例示第一電晶體結構109A之磊晶源極/汲極區92A的B-B’橫截面,其中背側通孔130形成於磊晶源極/汲極區92A上方且延伸穿過第二介電層125。第31B例示沿著第二電晶體結構109B之閘極電極102B的A-A’橫截面。
參看第31C圖及第31D圖,類似地,如上文關於第27A圖至第27C圖所論述,背側互連結構140之數個部分形成於電晶體結構109A及109B上方。舉例而言,導電接線133可形成於背側通孔130 (例如,背側通孔130A)上方且電連接至該背側通孔。此外,導電通孔134及導電接線135可使用單一鑲嵌製程或雙重鑲嵌製程形成於導電接線133上方且電連接至該等導電接線。
形成背側閘極通孔164可在形成導電通孔134之前、之後或同時形成。類似地,如上文所論述,導電通孔134可例如藉由使用光微影與蝕刻製程之組合在第二介電層132B中圖案化凹部而形成於第二介電層132B中。類似地,背側閘極通孔164可包括在第二介電層132B中圖案化凹部,該些凹部進一步延伸穿過第二介電層132A、淺溝槽隔離區68及閘極介電質100。此外,用於導電接線135之凹部可經圖案化至第二介電層132B中。導電通孔134、背側閘極通孔164及導電接線135接著藉由將導電材料沈積於如上文所論述之凹部中來形成。因此,背側閘極通孔164耦接閘極電極102至導電接線135。根據其他實施例,單一鑲嵌製程經執行,使得導電通孔134及背側閘極通孔164在第二介電層132B經圖案化以形成導電接線135之前形成。在導電通孔及背側閘極通孔164在導電接線135之前形成的一些實施例中,第二介電層132C可沈積於第二介電層132B上方且經圖案化以形成導電接線135。
如上文所論述,背側互連結構140之導電接線135包含信號接線135S,該信號接線為導電接線135的可使第一電晶體結構109A之磊晶源極/汲極區92A與第二電晶體結構109B之閘極電極102B之間的汲極至閘極信號連接完整的部分。因此,磊晶源極/汲極區92A及閘極電極102B經由背側通孔130、導電接線133、導電通孔134、信號接線135S及背側閘極通孔164電連接至彼此。如所例示,導電通孔134及背側閘極通孔164可各自直接耦接至信號接線135S。儘管未具體例示,但背側互連結構140、焊球下金屬146及外部連接器148之剩餘部分可如上文所描述而形成以使用於其他佈線及其他設備的積體電路完整。
第32A圖至第32H圖例示經由磊晶源極/汲極區92電連接至前側互連結構120及背側互連結構140之電晶體結構109之陣列的示意性橫截面圖及平面圖。請注意,一些細節已自橫截面圖及平面圖省略以強調其他特徵且為了易於例示。此外,為了強調,第32A圖至第32H圖中例示之一些特徵的大小及形狀可不同於其他圖中彼等類似特徵的大小及形狀。然而,類似參考數字指示,類似元件使用如上文所論述之類似製程來形成。
第32A圖例示第一磊晶源極/汲極區92A及第二磊晶源極/汲極區92B的係上文論述之橫截面B-B’之版本的橫截面X-X’,且第32B圖例示第三磊晶源極/汲極區92C及第四磊晶源極/汲極區92D的係上文論述之橫截面B-B’之另一版本的橫截面Y-Y’。第32C圖至第32H圖例示磊晶源極/汲極區92的來自不同階層(例如,分別為階層L0 、階層L1 、階層LN 、階層L-1 、階層L-2 及階層L-N )之平面圖。對應橫截面X-X’及Y-Y’為了參考在第32C圖至第32H圖中標記出。
第32C圖至第32E圖例示電晶體結構109上方的前側互連結構120分別在階層L0 、L1 及LN 處的平面圖。參看例示階層L0 處之平面圖的第32C圖,磊晶源極/汲極區92 (例如,磊晶源極/汲極區92A/92B/92C/92D)形成於閘極電極102之相對側處以形成電晶體結構109的數個部分。舉例而言,第一磊晶源極/汲極區92A及第三磊晶源極/汲極區92C可設置於第一閘極電極102之相對側處,且第二磊晶源極/汲極區92B及第四源極/汲極區92D亦可設置於第一閘極電極102的相對側處。
第32D圖例示階層L0 及L1 處的平面圖,其中階層L1 包括將磊晶源極/汲極區92電連接至前側互連結構120的源極/汲極觸點112及將閘極電極102電連接至前側互連結構120的閘極觸點114。構成階層L1 之其他特徵,諸如第二層間介電質106已被省略以提供階層L0 的更清楚視圖。
第32E圖例示階層L0 、L1 及LN 處的平面圖,其中階層LN 表示前側互連結構120的一或多個層同時省略特定佈線的一些細節。第一導電特徵122可直接耦接至下伏源極/汲極觸點112,或經由電插入於之間的其他特徵間接耦接至下伏源極/汲極觸點。第一導電特徵122可進一步包含虛設第一導電特徵122D 。儘管三個功能第一導電特徵122予以例示,但熟習此項技術者應理解,磊晶源極/汲極區92可經由源極/汲極觸點112電連接至前側互連結構120中多於或少於彼等三個功能第一導電特徵122的功能第一導電特徵。三個第一導電特徵122中之每一者可經電連接以遞送信號至磊晶源極/汲極區92。
第32F圖至第32H圖例示電晶體結構109上方背側互連結構140分別在階層L-1 、L-2 及L-N 處的平面圖。第32F圖例示處於階層L0 及L-1 的平面圖,其中階層L-1 包括電連接至磊晶源極/汲極區92中之每一者的背側通孔130。可構成階層L-1 之其他特徵,諸如淺溝槽隔離區68已被省略以提供階層L0 的更清楚視圖。
第32G圖例示處於階層L0 、L-1 及L-2 的平面圖,其中階層L-2 包括電連接至背側通孔130的導電接線133。構成階層L-2 之其他特徵,諸如第二介電層132A已被省略以便提供階層L-1 及L0 的更清楚視圖。
第32H圖例示階層L0 、L-1 、L-2 及L-N 處之平面圖,其中階層L-N 包括導電接線(例如,導電接線135)之一或多個額外層,諸如信號接線135S及電源軌135P,該一或多個額外層經由導電通孔134電連接至導電接線133 (未獨立例示)。構成階層L-N 之其他特徵,諸如第二介電層132B已被省略以提供階層L-2 、L-1 及L0 的更清楚視圖。如第32A圖及第32H圖中所例示,第一磊晶源極/汲極區92A及第二磊晶源極/汲極區92B可經由背側互連結構140耦接至電源軌135P,該電源軌可經由例如外部連接器148(未獨立例示)耦接至VDD 或VSS 電壓。此外,第三磊晶源極/汲極區92C及第四磊晶源極/汲極區92D可經由背側互連結構140耦接至信號接線135S,該些信號接線可經由背側互連結構140耦接至積體電路晶粒的其他設備,如上文所論述。
第33A圖至第34C圖例示用於經由背側互連結構140將電晶體結構109之陣列電連接至信號接線及電源軌的額外實例。舉例而言,第33A圖至第33C圖例示藉由將具有同一導電類型之設備(例如,p型金氧半導體裝置或n型金氧半導體裝置)耦接至彼此經由背側互連結構140的汲極至汲極至汲極信號連接,且第34A圖至第34C圖例示藉由耦接具有相對導電類型之設備(例如,p型金氧半導體裝置至n型金氧半導體裝置)經由背側互連結構140的汲極至汲極信號連接。請注意,例示於第33A圖至第34C圖中之佈局中的一些或全部可形成於同一積體電路晶粒內。
第33A圖例示電晶體結構109之陣列及前側互連結構120的平面圖,且第33B圖例示電晶體結構109之陣列及背側互連結構140的平面圖。在各種導電特徵中,前側互連結構120包含耦接具有相對導電類型之兩個電晶體結構109以形成p-n接面(例如,n型及p型)的齊納二極體(zener diode)170。第33C圖例示針對描繪於第33A圖及第33B圖中之電晶體結構109的電路佈局圖,包括經由前側互連結構120及背側互連結構140的電源軌135P/VDD及135P/VSS以及信號接線(例如,第一導電特徵122及信號接線135S)。
如第33B圖及第33C圖中所例示,第一磊晶源極/汲極區92A、第二磊晶源極/汲極區92B及第三磊晶源極/汲極區92C(運用箭頭指示為藉由本文中描述之其他特徵覆蓋的區)可經由背側互連結構140耦接至彼此。詳言之,背側通孔130將磊晶源極/汲極區92A/92B/92C耦接至導電接線133,且導電通孔134將彼等導電接線133耦接至信號接線135S。如進一步例示,經由背側互連結構140,第四磊晶源極/汲極區92X、第五磊晶源極/汲極區92Y及第六磊晶源極/汲極區92Z耦接至導電接線135的電源軌135P。詳言之,第四磊晶源極/汲極區92X耦接至正電壓電源軌135P/VDD,而第五磊晶源極/汲極區92Y及第六磊晶源極/汲極區92Z耦接至接地電壓電源軌135P/VSS。
第34A圖亦例示電晶體結構109之陣列及前側互連結構120的平面圖,且第34B圖例示電晶體結構109之陣列及背側互連結構140的平面圖。在各種導電接線中,背側互連結構140包含耦接具有相對導電類型之兩個電晶體結構109以形成p-n接面的齊納二極體170。第34C圖例示針對描繪於第34A圖及第34B圖中之電晶體結構109的電路佈局圖,包括經由前側互連結構120及背側互連結構140的電源軌135P/VDD及135P/VSS以及信號接線(例如,第一導電特徵122及信號接線135S)。
如第34B圖及第34C圖中所例示,第一磊晶源極/汲極區92A及第二磊晶源極/汲極區92B (運用箭頭指示為藉由本文中描述之其他特徵覆蓋的區)可經由背側互連結構140耦接至彼此。詳言之,背側通孔130將彼等磊晶源極/汲極區92A/92B耦接至導電接線133,且導電通孔134將彼等導電接線133耦接至信號接線135S(例如,齊納二極體170)。如進一步所例示,經由背側互連結構140,第三磊晶源極/汲極區92X、第四磊晶源極/汲極區92Y及第五磊晶源極/汲極區92Z耦接至導電接線135的電源軌135P。詳言之,第三磊晶源極/汲極區92X耦接至正電壓電源軌135P/VDD,而第四磊晶源極/汲極區92Y及第五磊晶源極/汲極區92Z耦接至接地電壓電源軌135P/VSS。
在電連接至前側互連結構120及背側互連結構140的電晶體陣列中,電晶體結構109 (例如,磊晶源極/汲極區92及/或閘極電極102)可在本文中並未具體描述或例示之多種路徑中進行佈線。熟習此項技術者將認識到用於耦接前側互連結構120及背側互連結構140以協調至電晶體結構109之電源接線及信號接線的許多變化。
實施例可達成優勢。舉例而言,在背側互連結構中包括信號接線及電源接線允許經由前側互連結構及背側互連結構兩者的積體電路連接中的更大多功能性,此情形改良設備效能。詳言之,更寬導電接線及導電特徵可增大電信號的可靠性及產量。此外,如上文所描述,經由信號區佈線背側互連結構至信號接線且經由電源區佈線背側互連結構至電源軌藉由使區之間的寄生電容最小化來改良設備的效能。此外,在形成信號接線及電源軌之前形成導電接線的一或多個階層增大背側互連結構之佈線的複雜性及電路密度。由於此等益處,半導體裝置可在較小區中且以增大之密度形成。
在一實施例中,一種形成一結構的方法包括:在一第一基板上方形成一第一電晶體及一第二電晶體;在該第一電晶體及該第二電晶體上方形成一前側互連結構;蝕刻該第一基板之至少一背側以暴露該第一電晶體及該第二電晶體;形成一第一背側通孔,該第一背側通孔電連接至該第一電晶體;形成一第二背側通孔,該第二背側通孔電連接至該第二電晶體;在該第一背側通孔及該第二背側通孔上方沈積一介電層;在該介電層中形成一第一導電接線,該第一導電接線為經由該第一背側通孔電連接至該第一電晶體的一電源軌;及於該介電層中形成一第二導電接線,該第二導電接線為經由該第二背側通孔電連接至該第二電晶體的一信號接線。在另一實施例中,該方法進一步包括在該第一背側通孔上方形成一第三導電接線,該第三導電接線電連接該第一背側通孔及該第一導電接線;及在該第二背側通孔上方形成一第四導電接線,該第四導電接線電連接該第二背側通孔及該第二導電接線。在另一實施例中,該第一導電接線電連接至該第一電晶體的一源極/汲極區,且其中該第二導電接線電連接至該第二電晶體的一源極/汲極區。在另一實施例中,該方法進一步包括在該第一基板上方形成一第三電晶體的步驟,該第三電晶體的一閘極結構電連接至該第二導電接線。在另一實施例中,該方法進一步包括在該第一基板上方形成一第三電晶體的步驟,該第三電晶體的一源極/汲極區電連接至該第二導電接線。在另一實施例中,該方法進一步包括在該第一背側通孔上方形成一第三導電接線的步驟,該第三導電接線電插入於該第一背側通孔與該第二導電接線之間。在另一實施例中,該方法進一步包括在該第一導電接線上方形成一第四導電接線的步驟,該第四導電接線電連接至該第一電晶體。在另一實施例中,該方法進一步包括在該第四導電接線上方形成一焊球下金屬的步驟;及在該焊球下金屬上方形成一外部連接器的步驟。
在一實施例中,一種半導體裝置包括:嵌入於一第一介電層中的一電源軌;嵌入於該第一介電層中的一導電信號接線;一第二介電層,該第二介電層設置於該第一介電層上方;一第一背側通孔,該第一背側通孔設置於該電源軌上方且電連接至該電源軌;一第一電晶體,該第一電晶體設置於該第一背側通孔上方且電連接至該第一背側通孔;一第一閘極觸點,該第一閘極觸點設置於該第一電晶體的一第一閘極電極上方且電連接至該第一閘極電極;一第二背側通孔,該第二背側通孔設置於該導電信號接線上方且電連接至該導電信號接線;及一第二電晶體,該第二電晶體設置於該第二背側通孔上方且電連接至該第二背側通孔。在另一實施例中,該第一背側通孔電連接至該第一電晶體的一第一源極/汲極區。在另一實施例中,該第二背側通孔電連接至該第二電晶體的一第二源極/汲極區。在另一實施例中,該半導體裝置進一步包括:一第三背側通孔,該第三背側通孔設置於該導電信號接線上方且電連接至該導電信號接線;及一第三電晶體,該第三電晶體設置於該第三背側通孔上方且電連接至該第三背側通孔。在另一實施例中,該半導體裝置進一步包括:嵌入於該第二介電層中的一第三通孔,該第三通孔設置於該導電信號接線上方且電連接至該導電信號接線;及一第三導電接線,該第三導電接線電連接該第三通孔及該第三背側通孔。在另一實施例中,該第一電晶體的一源極/汲極區電連接至該第三電晶體的一閘極電極。在另一實施例中,該第一電晶體的一源極/汲極區電連接至該第三電晶體的一源極/汲極區。在另一實施例中,該第一電晶體之該源極/汲極區及該第三電晶體的該源極/汲極區係在該導電信號接線的相對側上。
在一實施例中,一種半導體裝置包括:一第一電晶體及一第二電晶體,該第一電晶體及該第二電晶體設置於一第一互連結構上方;一第一通孔,該第一通孔設置於該第一電晶體上方且電連接至該第一電晶體;一第二通孔,該第二通孔設置於該第二電晶體上方且電連接至該第二電晶體;及一第二互連結構,該第二互連結構設置於該第一電晶體及該第二電晶體上方,該第二互連結構包括:嵌入於一第一介電層中的一第一導電接線,該第一導電接線電連接至該第一通孔;一第二導電接線,該第二導電接線嵌入於該第一介電層中,該第二導電接線電連接至該第二通孔;一第二介電層,該第二介電層設置於該第一介電層上方;一電源軌,該電源軌嵌入於該第二介電層中,該電源軌電連接至該第一導電接線;及一導電信號接線,該導電信號接線嵌入於該第二介電層中,該導電信號接線電連接至該第二導電接線。在另一實施例中,該半導體裝置進一步包括:一第三電晶體;一第三通孔,該第三通孔設置於該第三電晶體上方且電連接至該第三電晶體;及一第四導電接線,該第四導電接線嵌入於該第一介電層中,該第四導電接線電連接至該導電信號接線。在另一實施例中,該半導體裝置進一步包括:一第四電晶體;一第四通孔,該第四通孔設置於該第四電晶體上方且電連接至該第四電晶體;及一第五導電接線,該第五導電接線嵌入於該第一介電層中,該第五導電接線電連接至該導電信號接線。在另一實施例中,該第一電晶體之一源極/汲極區、該第三電晶體的一源極/汲極區及該第四電晶體的一源極/汲極區經電連接。
前述內容概述若干實施例之特徵,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其可易於使用本揭露作為用於設計或修改用於實施本文中引入之實施例之相同目的及/或達成相同優勢之其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不偏離本揭露之精神及範疇,且此類等效構造可在本文中進行各種改變、取代及替代而不偏離本揭露的精神及範疇。
20:分隔器 50:基板 50N:n型區 50P:p型區 51、51A-51C:第一半導體層 52、52A-52C:第一奈米結構 53、53A-53C:第二半導體層 54、54A-54C:第二奈米結構 55:奈米結構 60:虛設閘極介電質 64:多層堆疊 66:鰭片 68:淺溝槽隔離區 70:虛設介電層 71:虛設閘極介電質 72:虛設閘極層 74:罩幕層 76:虛設閘極 78:罩幕 80:第一間隔物層 81:第一間隔物 82:第二間隔物層 83:第二間隔物 86:第一凹部 87:第二凹部 88:側壁凹部 90:第一內部間隔物 91:第一磊晶材料 92:磊晶源極/汲極區 92A:第一半導體材料層、第一磊晶源極/汲極區、磊晶源極/汲極區 92B:第二半導體材料層、第二磊晶源極/汲極區、磊晶源極/汲極區 92C:第三半導體材料層、第三磊晶源極/汲極區、磊晶源極/汲極區 92D:第四磊晶源極/汲極區、磊晶源極/汲極區 92X:第四磊晶源極/汲極區、第三磊晶源極/汲極區 92Y:第五磊晶源極/汲極區、第四磊晶源極/汲極區 92Z:第六磊晶源極/汲極區、第五磊晶源極/汲極區 94:接觸蝕刻終止層 96:第一層間介電質 98:第三凹部 100:閘極介電層 102:閘極電極 102B:閘極電極 103:閘極結構 103B:閘極結構 104:閘極罩幕 106:第二層間介電質 108:第四凹部 109:電晶體結構 109A:第一電晶體結構 109B:第二電晶體結構 110:第一矽化物區 112:源極/汲極觸點 114:閘極觸點 120:前側互連結構 122:第一導電特徵 122D :虛設第一導電特徵 124:第一介電層 125:第二介電層 128:第五凹部 129:第二矽化物區 130:背側通孔 130A:第一背側通孔 130B:第二背側通孔 132:第二介電層 132A:第二介電層 132B:第二介電層 132C:第二介電層 133:導電接線 133A:第一導電接線 133B:第二導電接線 134:導電通孔 134A:第一導電通孔 134B:第二導電通孔 135:導電接線 135S:信號接線 135P:電源軌 136:導電通孔 137:導電接線 140:背側互連結構 140S:信號區 140P:電源區 144:鈍化層 146:焊球下金屬 148:外部連接器 150:載體基板 152:接合層 152A:第一接合層 152B:第二接合層 160:分隔器 161:混合式鰭片 164:背側閘極通孔 170:齊納二極體 A-A’:橫截面 B-B’:橫截面 C-C’:橫截面 L0 :階層 L1 :階層 LN :階層 L-1 :階層 L-2 :階層 L-N :階層
本揭露的態樣在與隨附圖式一起研讀時自以下詳細描述內容來最佳地理解。應注意,根據行業中之標準慣例,各種特徵未按比例繪製。實際上,各種特徵的尺寸可為了論述清楚經任意地增大或減小。 第1圖以立體圖例示根據一些實施例的奈米場效電晶體的實例。 第2圖、第3圖、第4圖、第5圖、第6A圖、第6B圖、第6C圖、第7A圖、第7B圖、第7C圖、第8A圖、第8B圖、第8C圖、第9A圖、第9B圖、第9C圖、第10A圖、第10B圖、第10C圖、第11A圖、第11B圖、第11C圖、第11D圖、第12A圖、第12B圖、第12C圖、第12D圖、第12E圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第14C圖、第15A圖、第15B圖、第15C圖、第16A圖、第16B圖、第16C圖、第17A圖、第17B圖、第17C圖、第18A圖、第18B圖、第18C圖、第19A圖、第19B圖、第19C圖、第20A圖、第20B圖、第20C圖、第21A圖、第21B圖、第21C圖、第22A圖、第22B圖、第22C圖、第23A圖、第23B圖、第23C圖、第24A圖、第24B圖、第24C圖、第25A圖、第25B圖、第25C圖、第26A圖、第26B圖、第26C圖、第27A圖、第27B圖、第27C圖、第28A圖、第28B圖、第28C圖、第29A圖、第29B圖、第30A圖、第30B圖、第31A圖、第31B圖、第31C圖、第31D圖、第32A圖及第32B圖係根據一些實施例的製造奈米場效電晶體之中間階段的剖面圖。 第30C圖、第30D圖、第30E圖、第32C圖、第32D圖、第32E圖、第32F圖、第32G圖、第32H圖、第33A圖、第33B圖、第34A圖及第34B圖例示根據一些實施例的製造奈米場效電晶體之中間階段的平面圖。 第33C圖及第34C圖為根據一些實施例的奈米場效電晶體的電路佈局。
50:基板
55:奈米結構
66:鰭片
68:淺溝槽隔離區
92:磊晶源極/汲極區
100:閘極介電層
102:閘極電極
A-A’:橫截面
B-B’:橫截面
C-C’:橫截面

Claims (20)

  1. 一種形成一結構之方法,該方法包含以下步驟: 在一第一基板上方形成一第一電晶體及一第二電晶體; 在該第一電晶體及該第二電晶體上方形成一前側互連結構; 蝕刻該第一基板之至少一背側以暴露該第一電晶體及該第二電晶體; 形成一第一背側通孔,該第一背側通孔電連接至該第一電晶體; 形成一第二背側通孔,該第二背側通孔電連接至該第二電晶體; 在該第一背側通孔及該第二背側通孔上方沈積一介電層; 在該介電層中形成一第一導電接線,該第一導電接線為經由該第一背側通孔電連接至該第一電晶體的一電源軌;及 於該介電層中形成一第二導電接線,該第二導電接線為經由該第二背側通孔電連接至該第二電晶體的一信號接線。
  2. 如請求項1所述之方法,進一步包含以下步驟: 在該第一背側通孔上方形成一第三導電接線,該第三導電接線電連接該第一背側通孔及該第一導電接線;及 在該第二背側通孔上方形成一第四導電接線,該第四導電接線電連接該第二背側通孔及該第二導電接線。
  3. 如請求項1所述之方法,其中該第一導電接線電連接至該第一電晶體的一源極/汲極區,且其中該第二導電接線電連接至該第二電晶體的一源極/汲極區。
  4. 如請求項3所述之方法,進一步包含以下步驟:在該第一基板上方形成一第三電晶體,該第三電晶體的一閘極結構電連接至該第二導電接線。
  5. 如請求項3所述之方法,進一步包含以下步驟:在該第一基板上方形成一第三電晶體,該第三電晶體的一源極/汲極區電連接至該第二導電接線。
  6. 如請求項1所述之方法,進一步包含以下步驟:在該第一背側通孔上方形成一第三導電接線,該第三導電接線電插入於該第一背側通孔與該第二導電接線之間。
  7. 如請求項1所述之方法,進一步包含以下步驟:在該第一導電接線上方形成一第四導電接線,該第四導電接線電連接至該第一電晶體。
  8. 如請求項7所述之方法,進一步包含以下步驟: 在該第四導電接線上方形成一焊球下金屬(UBM);及 在該UBM上方形成一外部連接器。
  9. 一種半導體裝置,包含: 嵌入於一第一介電層中的一電源軌; 嵌入於該第一介電層中的一導電信號接線; 一第二介電層,該第二介電層設置於該第一介電層上方; 一第一背側通孔,該第一背側通孔設置於該電源軌上方且電連接至該電源軌; 一第一電晶體,該第一電晶體設置於該第一背側通孔上方且電連接至該第一背側通孔; 一第一閘極觸點,該第一閘極觸點設置於該第一電晶體的一第一閘極電極上方且電連接至該第一閘極電極; 一第二背側通孔,該第二背側通孔設置於該導電信號接線上方且電連接至該導電信號接線;及 一第二電晶體,該第二電晶體設置於該第二背側通孔上方且電連接至該第二背側通孔。
  10. 如請求項9所述之半導體裝置,其中該第一背側通孔電連接至該第一電晶體的一第一源極/汲極區。
  11. 如請求項9所述之半導體裝置,其中該第二背側通孔電連接至該第二電晶體的一第二源極/汲極區。
  12. 如請求項9所述之半導體裝置,進一步包含: 一第三背側通孔,該第三背側通孔設置於該導電信號接線上方且電連接至該導電信號接線;及 一第三電晶體,該第三電晶體設置於該第三背側通孔上方且電連接至該第三背側通孔。
  13. 如請求項12所述之半導體裝置,進一步包含: 嵌入於該第二介電層中的一第三通孔,該第三通孔設置於該導電信號接線上方且電連接至該導電信號接線;及 一第三導電接線,該第三導電接線電連接該第三通孔及該第三背側通孔。
  14. 如請求項12所述之半導體裝置,其中該第一電晶體的一源極/汲極區電連接至該第三電晶體的一閘極電極。
  15. 如請求項12所述之半導體裝置,其中該第一電晶體的一源極/汲極區電連接至該第三電晶體的一源極/汲極區。
  16. 如請求項15所述之半導體裝置,其中該第一電晶體之該源極/汲極區及該第三電晶體的該源極/汲極區係在該導電信號接線的相對側上。
  17. 一種半導體裝置,包含: 一第一電晶體及一第二電晶體,該第一電晶體及該第二電晶體設置於一第一互連結構上方; 一第一通孔,該第一通孔設置於該第一電晶體上方且電連接至該第一電晶體; 一第二通孔,該第二通孔設置於該第二電晶體上方且電連接至該第二電晶體;及 一第二互連結構,該第二互連結構設置於該第一電晶體及該第二電晶體上方,該第二互連結構包含: 嵌入於一第一介電層中的一第一導電接線,該第一導電接線電連接至該第一通孔; 一第二導電接線,該第二導電接線嵌入於該第一介電層中,該第二導電接線電連接至該第二通孔; 一第二介電層,該第二介電層設置於該第一介電層上方; 一電源軌,該電源軌嵌入於該第二介電層中,該電源軌電連接至該第一導電接線;及 一導電信號接線,該導電信號接線嵌入於該第二介電層中,該導電信號接線電連接至該第二導電接線。
  18. 如請求項17所述之半導體裝置,進一步包含: 一第三電晶體; 一第三通孔,該第三通孔設置於該第三電晶體上方且電連接至該第三電晶體;及 一第四導電接線,該第四導電接線嵌入於該第一介電層中,該第四導電接線電連接至該導電信號接線。
  19. 如請求項18所述之半導體裝置,進一步包含: 一第四電晶體; 一第四通孔,該第四通孔設置於該第四電晶體上方且電連接至該第四電晶體;及 一第五導電接線,該第五導電接線嵌入於該第一介電層中,該第五導電接線電連接至該導電信號接線。
  20. 如請求項19所述之半導體裝置,其中該第一電晶體之一源極/汲極區、該第三電晶體的一源極/汲極區及該第四電晶體的一源極/汲極區經電連接。
TW110108472A 2020-05-28 2021-03-10 半導體裝置的形成方法 TW202145363A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031083P 2020-05-28 2020-05-28
US63/031,083 2020-05-28
US17/126,509 2020-12-18
US17/126,509 US11862561B2 (en) 2020-05-28 2020-12-18 Semiconductor devices with backside routing and method of forming same

Publications (1)

Publication Number Publication Date
TW202145363A true TW202145363A (zh) 2021-12-01

Family

ID=77025377

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110108472A TW202145363A (zh) 2020-05-28 2021-03-10 半導體裝置的形成方法

Country Status (3)

Country Link
US (1) US20240096805A1 (zh)
CN (1) CN113206037A (zh)
TW (1) TW202145363A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI832656B (zh) * 2022-02-22 2024-02-11 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI832656B (zh) * 2022-02-22 2024-02-11 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Also Published As

Publication number Publication date
US20240096805A1 (en) 2024-03-21
CN113206037A (zh) 2021-08-03

Similar Documents

Publication Publication Date Title
TWI764411B (zh) 封裝半導體元件及其形成方法
TWI777363B (zh) 半導體裝置及其製造方法
KR102515296B1 (ko) 디커플링 커패시터를 포함한 반도체 디바이스
TWI801824B (zh) 半導體元件及其形成方法
US20210376094A1 (en) Semiconductor devices and methods of forming the same
TWI749986B (zh) 半導體元件及其形成方法
US20240021684A1 (en) Semiconductor devices and methods of forming the same
TWI757063B (zh) 半導體裝置與其製造方法
TWI775278B (zh) 半導體裝置及其製作方法
US20230386993A1 (en) Semiconductor Devices Including Decoupling Capacitors
TWI787083B (zh) 半導體裝置以及其形成方法
US20240194559A1 (en) Thermal dissipation in semiconductor devices
US20240096805A1 (en) Semiconductor devices with backside routing and method of forming same
TWI789732B (zh) 半導體裝置以及其形成方法
TWI787715B (zh) 半導體元件及其形成方法
US11355410B2 (en) Thermal dissipation in semiconductor devices
TW202205382A (zh) 半導體元件的形成方法
US20220328363A1 (en) Dual-Side Power Rail Design and Method of Making Same
US20210375761A1 (en) Semiconductor Devices with Backside Routing and Method of Forming Same
TWI830158B (zh) 半導體裝置的製造方法
TW202414552A (zh) 半導體裝置及其製造方法
TW202310009A (zh) 形成半導體裝置的方法
TW202347524A (zh) 半導體裝置及其形成方法
CN114914201A (zh) 集成电路结构与其制造方法