TWI775278B - 半導體裝置及其製作方法 - Google Patents

半導體裝置及其製作方法 Download PDF

Info

Publication number
TWI775278B
TWI775278B TW110101865A TW110101865A TWI775278B TW I775278 B TWI775278 B TW I775278B TW 110101865 A TW110101865 A TW 110101865A TW 110101865 A TW110101865 A TW 110101865A TW I775278 B TWI775278 B TW I775278B
Authority
TW
Taiwan
Prior art keywords
source
drain region
layer
drain
epitaxial source
Prior art date
Application number
TW110101865A
Other languages
English (en)
Other versions
TW202209495A (zh
Inventor
王培宇
黃禹軒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/015,628 external-priority patent/US11410930B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202209495A publication Critical patent/TW202209495A/zh
Application granted granted Critical
Publication of TWI775278B publication Critical patent/TWI775278B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

在一實施例中,一種半導體裝置包括:第一鰭片;第一鰭片上方之閘極結構;相鄰於閘極結構的第一源極/汲極區;第一源極/汲極區上方之蝕刻終止層;在蝕刻終止層上方的導線,導線藉由蝕刻終止層與第一源極/汲極區隔離,導線之頂表面與閘極結構之頂表面共面;及電力軌觸點,電力軌觸點延伸穿過第一鰭片,電力軌觸點連接至第一源極/汲極區。

Description

半導體裝置及其製作方法
本揭露是關於一種半導體裝置及其製作方法。
半導體裝置用於諸如個人電腦、手機、數位攝影機及其他電子裝備的多種電子設施中。半導體裝置通常藉由以下操作來製造:在半導體基板上方依序沈積絕緣或介電層、導電層及半導體材料層,及使用微影術來圖案化各種材料層以在半導體基板上形成電路組件及元件。
半導體行業藉由最小特徵大小之持續減小來繼續改良各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的整合式密度,此舉允許更多組件整合至給定區域中。然而,隨著最小特徵大小被減小,應被解決之額外問題出現。
本揭露的一些實施例中,一種半導體裝置包括:一第一鰭片;第一鰭片上方之閘極結構;相鄰於閘極結構的第一源極/汲極區;第一源極/汲極區上方之蝕刻終止層; 在蝕刻終止層上方的導線,導線藉由蝕刻終止層與第一源極/汲極區隔離,導線之頂表面與閘極結構之頂表面共面;及電力軌觸點,電力軌觸點延伸穿過第一鰭片,電力軌觸點連接至第一源極/汲極區。
本揭露的另一些實施例中,一種半導體裝置包括:具有電力軌之背側互連結構、具有互連件之前側互連結構,以及裝置層。裝置層是在背側互連結構與前側互連結構之間,裝置層包括電晶體,電晶體包括第一磊晶源極/汲極區;源極/汲極觸點,源極/汲極觸點接觸第一磊晶源極/汲極區的前側,互連件連接至源極/汲極觸點;第二磊晶源極/汲極區;及電力軌觸點,電力軌觸點接觸第二磊晶源極/汲極區之背側,電力軌連接至電力軌觸點,第二磊晶源極/汲極區之前側藉由介電材料完全覆蓋。
在本揭露的又一些實施例中,一種半導體裝置的製作方法包括:將蝕刻終止層沈積於第一磊晶源極/汲極區、第二磊晶源極/汲極區及閘極結構上,第一磊晶源極/汲極區及第二磊晶源極/汲極區設置於閘極結構的相對側處;將層間介電層沈積於蝕刻終止層上;通過層間介電層及蝕刻終止層來蝕刻第一開口,第一開口暴露第一磊晶源極/汲極區的前側,第二磊晶源極/汲極區的前側在蝕刻第一開口之後保持藉由蝕刻終止層覆蓋;及在第一開口中形成源極/汲極觸點,源極/汲極觸點接觸第一磊晶源極/汲極區。
50:基板
50N:n型區
50P:p型區
52:多層堆疊
52A:第一半導體層
52B:第二半導體層
54:鰭片
56:奈米結構
56A:第一奈米結構
56B:第二奈米結構
60:隔離區/淺溝槽隔離(STI)區
62:虛設介電層
64:虛設閘極層
66:遮罩層
68:通道區
72:虛設介電質
74:虛設閘極
76:遮罩
80:閘極間隔物
82:源極/汲極凹座
84:內部間隔物
92:磊晶源極/汲極區
92P:磊晶源極/汲極區
92S:磊晶源極/汲極區
94:接觸蝕刻終止層
96:第一層間介電層
98:凹座
100:閘極結構
102:閘極介電質
104:閘極電極
106:源極/汲極觸點開口
108:遮罩
110:金屬-半導體合金區
112:下部源極/汲極觸點
114:溝槽
116:遮罩
118:導線
122:第二層間介電層
124:閘極觸點
126:上部源極/汲極觸點
128:接線觸點
130:裝置層
140:互連結構
142:導電特徵
142A:導線
144:介電層
146:載體基板
148:接合層
148A:接合層
148B:接合層
150:互連結構
152:介電層
154:電力軌觸點開口
156:金屬-半導體合金區
158:電力軌觸點
160:導電特徵
160P:電力軌
162:介電層
164:導電特徵
166:介電層
172:鈍化層
174:凸塊下金屬層
176:外部連接器
A-A:橫截面
B-B:橫截面
C-C:橫截面
D-D:橫截面
H1:高度
H2:高度
H3:高度
L1:長度
L2:長度
T1:第一厚度
T2:第二厚度
T3:第三厚度
W1:寬度
本揭露之態樣在與隨附圖式一起研讀時自以下詳細描述內容來最佳地理解。應注意,根據行業中之標準慣例,各種特徵未按比例繪製。實際上,各種特徵之尺寸可為了論述清楚經任意地增大或減小。
第1圖圖示根據一些實施例之簡化奈米結構場效電晶體(nanostructure field effect transistor;nano-FET)的實例。
第2圖至第19D圖為根據一些實施例的在製造半導體裝置中之中間階段的各種視圖。
第20圖至第27D圖為根據一些實施例的製造半導體裝置中之其他中間階段的各種視圖。
第28A圖至第28D圖為根據一些其他實施例之半導體裝置的各種視圖。
第29圖為根據一些其他實施例之半導體裝置的橫截面視圖。
以下揭示內容提供用於實施本揭露之不同特徵的許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等組件及配置僅為實例且並非意欲為限制性的。舉例而言,在以下描述中第一特徵於第二特徵上方或上的形成可包括第一及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第 二特徵之間使得第一特徵及第二特徵可不直接接觸的實施例。此外,本揭露在各種實例中可重複參考數字及/或字母。此重複係出於簡單及清楚之目的,且本身並不指明所論述之各種實施例及/或組態之間的關係。
另外,空間相對術語,諸如「......下面」、「下方」、「下部」、「......上方」、「上部」及類似者本文中可出於易於描述而使用以描述如諸圖中圖示的一個元素或特徵與另一元素或特徵之關係。空間相對術語意欲涵蓋裝置的使用或操作中之除諸圖中描繪之定向外的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中使用之空間相對描述詞可同樣經因此解譯。
根據各種實施例,一種半導體裝置形成為具有設置於兩個互連結構之間的裝置層。裝置層包括電晶體,例如,奈米結構場效電晶體(field effect transistor;nano-FET)。互連結構中之一者是在裝置層之前側處,且包括互連裝置層之電晶體以形成功能電路的導電特徵。互連結構之另一者是在裝置層之背側處,且包括用以提供裝置層之電力電路的導電特徵。具體而言,背側互連結構包括用於提供參考電壓、電源電壓或類似者至功能電路的專用電力軌。電力軌附接至裝置層中電晶體之源極/汲極區的背側。因此,至此類源極/汲極區之前側的觸點為非所要的,且並不經形成。避免此類觸點之形成可增大電晶體之效能且在裝置層中釋放空間,該空間可用於其他用途,諸如形成用於互連佈線的額外導線。
第1圖圖示根據一些實施例之簡化奈米FET的實例。第1圖為切開之三維視圖,其中奈米FET之一些特徵為了圖示清楚被省略。奈米FET可為奈米片材場效電晶體(nanosheet field-effect transistor;NSFET)、奈米導線場效電晶體(nanowire field-effect transistor;NWFET)、全環繞閘極場效電晶體(gate-all-around field-effect transistor;GAAFET),或類似者。
奈米FET包括自基板50延伸的在基板50上方,諸如在鰭片54上方的奈米結構56。奈米結構56為充當奈米FET之通道區68的半導體層。諸如淺溝槽隔離(shallow trench isolation;STI)之隔離區60設置於基板50上方且鰭片54中之相鄰鰭片之間,該些鰭片可在相鄰隔離區60上方且自相鄰隔離區之間突出。儘管隔離區60描述/圖示為與基板50分離,但如本文中所使用,術語「基板」可單獨指基板50或基板50與隔離區60的組合。另外,儘管鰭片54與基板50一起被圖示為單一連續材料,但鰭片54及/或基板50可包括單一材料或複數種材料。在此情形下,鰭片54指在相鄰隔離區60上方且自該些相鄰隔離區之間延伸的部分。
閘極結構100環繞奈米結構56,且設置於鰭片54上方。閘極結構100包括閘極介電質102及閘極電極104。閘極介電質102是沿著奈米結構56之頂表面、側壁及底表面,且可沿著鰭片54之側壁及/或在頂表面上方 延伸。閘極電極104是在閘極介電質102上。磊晶源極/汲極區92設置於閘極結構100之相對側上。在形成多個單晶體之實施例中,磊晶源極/汲極區92可在各種電晶體之間共用。舉例而言,相鄰磊晶源極/汲極區92可諸如經由藉由磊晶生長聯合磊晶源極/汲極區92或經由耦接磊晶源極/汲極區92與同一源極/汲極觸點經電耦接。一或多個層間介電層(interlayer dielectric;ILD)層(在下文更詳細地論述)是在磊晶源極/汲極區92及/或閘極結構100上方,穿過該些層間介電層形成至磊晶源極/汲極區92及閘極電極104的觸點(下文更詳細地論述)。磊晶源極/汲極區92及閘極電極104經互連以形成功能電路。如下文將更詳細地論述,磊晶源極/汲極區92P的第一子集將連接至專用電力軌從而提供參考電壓、供電電壓或類似者至電路,且磊晶源極/汲極區92S之第二子集將不連接至專用電力軌。
本文中論述之一些實施例在使用最後閘極製程形成的奈米FET之情形下論述。在其他實施例中,可使用首先閘極製程。又,一些實施例預期到在諸如平面FET之平面裝置中或在鰭式場效電晶體(fin field-effect transistor;FinFET)中使用的態樣。
第1圖進一步圖示用於後續圖中的參考橫截面視圖。橫截面A-A是沿著奈米結構56之縱向軸線且在例如磊晶源極/汲極區92之間的電流流動之方向上。橫截面B-B垂直於橫截面A-A,且是沿著閘極結構100的縱向 軸線。橫截面C-C垂直於橫截面A-A,且延伸穿過磊晶源極/汲極區92S。橫截面D-D垂直於橫截面A-A,且延伸穿過磊晶源極/汲極區92P。後續圖為了清楚起見指此參考橫截面。
第2圖至第19D圖為根據一些實施例的在製造半導體裝置中之中間階段的各種視圖。具體而言,圖示奈米FET之裝置層的製造。第2圖、第3圖、第4圖、第5圖及第6圖為繪示類似於第1圖之三維視圖的三維視圖。第7A圖、第8A圖、第9A、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖及第19A圖為沿著第1圖中之參考橫截面A-A圖示的橫截面視圖。第10B圖、第11B圖、第13B圖及第19B圖為沿著第1圖中之參考橫截面B-B圖示的橫截面視圖。第7B圖、第8B圖、第9B圖及第9C圖為沿著第1圖中之參考橫截面C-C或D-D中任一者圖示的橫截面視圖,除了繪示兩個鰭片外。第14B圖、第15B圖、第16B圖、第17B圖及第19C圖為沿著第1圖中之參考橫截面C-C圖示的橫截面視圖,除了繪示兩個鰭片外。第14C圖、第15C圖、第16C圖、第17C圖及第19D圖為沿著第1圖中之參考橫截面D-D圖示的橫截面視圖,除了繪示了兩個鰭片外。第18圖為俯視圖。
在第2圖中,提供基板50,用於形成奈米FET。基板50可為半導體基板,諸如塊體半導體、絕緣體上半導體(semiconductor-on-insulator;SOI)基板或類似 者,該半導體基板可經摻雜(例如,運用p型或n型摻雜劑)或未經摻雜。基板50可為晶圓,諸如矽晶圓。大體而言,SOI基板為形成於絕緣體層上之半導體材料層。絕緣體層可為例如嵌埋式氧化物(buried oxide;BOX)層、氧化矽層或類似者。絕緣體層設置於基板,通常矽或玻璃基板上。亦可使用其他基板,諸如多層或梯度基板。在一些實施例中,基板50之半導體材料可包括矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括矽鍺、砷磷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦,及/或砷磷鎵銦;或其組合物。
基板50具有n型區50N及p型區50P。n型區50N可係用於形成n型裝置,諸如NMOS電晶體,例如n型奈米FET,且p型區50P可係用於形成p型裝置,諸如PMOS電晶體,例如p型奈米FET。n型區50N可與p型區50P實體分離(並未獨立地圖示),且任何數目個裝置特徵(例如,其他主動裝置、經摻雜區、隔離結構等)可設置於n型區50N與p型區50P之間。
基板50可運用p型或n型雜質經輕度摻雜。抗擊穿(anti-punch-through;APT)佈植可對基板50之上部部分執行,以形成APT區。在APT佈植期間,摻雜劑可被佈植n型區50N及p型區50P中。摻雜劑可具有與源極/汲極區之導電類型相對的導電類型,該些源極/汲極區將依序形成於n型區50N與p型區50P中的每一者中。 APT區可在依序形成於奈米FET中之源極/汲極區下方延伸,該些所形成之源極/汲極區將在後續製程中形成。APT區可用以減小自源極/汲極區至基板50的洩露。在一些實施例中,APT區中之摻雜濃度可係在約1018cm-3至約1019cm-3的範圍內。
多層堆疊52形成於基板50上方。多層堆疊52包括交替之第一半導體層52A及第二半導體層52B。第一半導體層52A由第一半導體材料形成,且第二半導體層52B由第二半導體材料形成。半導體材料可各自選自基板50的候選半導體材料。在所圖示實施例中,多層堆疊52包括第一半導體層52A及第二半導體層52B中每一者的三個層。應瞭解,多層堆疊52可包括任何數目個第一半導體層52A及第二半導體層52B。
在所圖示實施例中,第二半導體層52B將用以在n型區50N及p型區50P兩者中形成奈米FET的通道區。第一半導體層52A為犧牲層(或虛設層),該些犧牲層將在後續處理中移除以在兩個區中暴露第二半導體層52B的頂表面及底表面。第二半導體層52B之第二半導體材料為適合於n型奈米FET及p型奈米FET的材料,諸如矽,且第一半導體層52A之第一半導體材料為相對於第二半導體材料之蝕刻具有高蝕刻選擇性的材料,諸如矽鍺。
在另一實施例中,第一半導體層52A將用以在一個區(例如,p型區50P)中形成奈米FET的通道區,且第二半導體層52B將用以在另一區(例如,n型區50N中) 形成奈米FET的通道區。第一半導體層52A之第一半導體材料可適用於p型奈米FET,諸如矽鍺(例如,SixGe1-x,其中x可係在0至1的範圍內)、純的或實質上純的鍺、III-V族化合物半導體、II-VI族化合物半導體或類似者;且第二半導體層52B之第二半導體材料可適用於n型奈米FET,諸如矽、碳化矽、III-V族化合物半導體、II-VI族化合物半導體,或者類似者。第一半導體材料及第二半導體材料可具有相對於彼此之蝕刻的高蝕刻選擇性,使得第一半導體層52A可在不移除n型區50N中之第二半導體層52B情況下被移除,且第二半導體層52B可在不移除p型區50P中之第一半導體層52A的情況下被移除。
多層堆疊52中之每一層可藉由諸如氣相磊晶(vapor phase epitaxy;VPE)或分子束磊晶(molecular beam epitaxy;MBE)的製程生長,藉由諸如化學氣相沈積(chemical vapor deposition;CVD)或原子層沈積(atomic layer deposition;ALD)來沈積,或類似者。每一層可經形成達小的厚度,諸如在約5nm至約30nm之範圍的厚度。在一些實施例中,一個層群組(例如,第二半導體層52B)經形成以薄於另一層群組(例如,第一半導體層52A)。舉例而言,在第一半導體層52A為犧牲層(或虛設層)且第二半導體層52B用以形成通道區的實施例中,第一半導體層52A可經形成達第一厚度T1,且第二半導體層52B可經形成達第二厚度T2,其中第二厚度T2相較於第一厚度T1小約30%至約60%。形成第 二半導體層52B達較小厚度允許通道區以較大密度形成。
在第3圖中,溝槽在基板50及多層堆疊52中蝕刻以形成鰭片54及奈米結構56。鰭片54為圖案化於基板50中的半導體條帶。奈米結構56包括鰭片54上之多層堆疊52的剩餘部分。具體而言,奈米結構56包括交替之第一奈米結構56A及第二奈米結構56B。第一奈米結構56A及第二奈米結構56B分別由第一半導體層52A及第二半導體層52B的剩餘部分形成。在形成之後,在結構之中間位準處的第二奈米結構56B各自設置於第一奈米結構56A中的兩者之間。蝕刻可為任何可接受蝕刻製程,諸如反應性離子蝕刻(reactive ion etch;RIE)、原子束蝕刻(neutral beam etch;NBE)、類似者,或其組合。蝕刻可為各向異性的。
鰭片54及奈米結構56可藉由任何合適方法來圖案化。舉例而言,鰭片54及奈米結構56可使用一或多個光微影術製程,包括雙重圖案化或多重圖案化製程來圖案化。大體而言,雙重圖案化或多重圖案化製程組合光微影術及自是對準製程,從而允許產生圖案,該些圖案具有例如小於使用單一直接光微影術製程以其他方式可獲得之間距的間距。舉例而言,在一個實施例中,犧牲層形成於基板上方且使用光微影術製程經圖案化。間隔物使用自對準製程沿著圖案化犧牲層形成。犧牲層接著經移除,且剩餘間隔物可接著用作遮罩以圖案化鰭片54及奈米結構56。
鰭片54及奈米結構56可具有在約8nm至約40 nm之範圍內的寬度。n型區50N及p型區50P中之鰭片54及奈米結構56出於圖示性目的圖示為具有實質上相等寬度。在一些實施例中,一個區(例如,n型區50N)中之鰭片54及奈米結構56相較於其他區(例如,p型區50P)中之鰭片54及奈米結構56可較寬或較窄。
在第4圖中,STI區60相鄰於鰭片54形成。STI區60可藉由在基板50與奈米結構56上方且在鰭片54中之相鄰鰭片之間沈積絕緣材料來形成。絕緣材料可為諸如氧化矽之氧化物、諸如氮化矽之氮化物、類似者或其組合,且可藉由高密度電漿CVD(high-density plasma CVD;HDP-CVD)、流動式CVD(flowable CVD;FCVD)、類似者或其組合來形成。可使用藉由任何可接受製程形成之其他絕緣材料。在所圖示之實施例中,絕緣材料為藉由FCVD製程形成的氧化矽。一旦形成了絕緣材料,退化製程便可予以執行。在實施例中,絕緣材料經形成,使得過量絕緣材料覆蓋奈米結構56。儘管絕緣材料圖示為單一層,一些實施例可利用多個層。舉例而言,在一些實施例中,襯裡可首先沿著基板50、鰭片54及奈米結構56的表面形成。其後,諸如上文論述之彼等的填充材料可形成於襯裡上方。
移除製程接著應用至絕緣材料以移除在奈米結構56上方的過量絕緣材料。在一些實施例中,可利用平坦化製程,諸如化學機械拋光(chemical mechanical polish;CMP)、回蝕製程、其組合,或類似者。平坦化 製程暴露奈米結構56,使得分別奈米結構56及絕緣材料的頂表面在平坦化製程完成之後為共面的(在製程變異內)。
絕緣材料接著經凹入以形成STI區60。絕緣材料經凹入,使得奈米結構56之至少一部分自相鄰STI區60之間突出。在所圖示之實施例中,STI區60之頂表面與鰭片54之頂表面為共面的(在製程變異內)。在一些實施例中,STI區60之頂表面係在鰭片54之頂表面上方或下方。另外,STI區60之頂表面可具有如所圖示之平坦表面、凸起表面、凹下表面(諸如盤形),或其組合。STI區60之頂表面可藉由適當蝕刻形成為平坦、凸起及/或凹下的。STI區60可使用諸如如下一者之可接受蝕刻製程來凹入:相對於絕緣材料的材料為選擇性的(例如,以快於鰭片54及奈米結構56之材料的速度選擇性地蝕刻STI區60的絕緣材料)。舉例而言,可使用氧化物移除,該氧化物移除使用例如稀釋氫氟酸(dilute hydrofluoric;dHF)。
上述製程僅為鰭片54及奈米結構56可如何形成的一個實例。在一些實施例中,鰭片54及奈米結構56可藉由磊晶生長製程來形成。舉例而言,介電層可形成於基板50之頂表面上方,且溝槽可蝕刻穿過介電層以暴露下伏基板50。磊晶結構可磊晶生長於溝槽中,且介電層可經凹入,使得磊晶結構自介電層突出以形成鰭片54及奈米結構56。磊晶結構可包括上文論述之交替的半導體材料,諸如第一半導體材料及第二半導體材料。在磊晶結構經磊晶生 長之實施例中,磊晶生長之材料可在生長期間經原位摻雜,此舉可排除之前及/或後續佈植,儘管可一起使用原位及佈植摻雜。
另外,適當井可形成於基板50、鰭片54及/或奈米結構56中。在一些實施例中,p型井可形成於n型區50N中,且n型井可形成於p型區50P中。在另一實施例中,p型井或n型井可形成於n型區50N及p型區50P兩者中。
在具有不同井類型之實施例中,針對n型區50N及p型區50P的不同佈植步驟可使用光阻劑或其他遮罩來達成。舉例而言,光阻劑在n型區50N中可形成於鰭片54、奈米結構56及STI區60上方。光阻劑經圖案化以暴露p型區50P。光阻劑可藉由使用旋塗技術來形成,且可使用可接受光微影術技術來圖案化。一旦光阻劑經圖案化,n型雜質佈植便在p型區50P中執行,且光阻劑可充當遮罩以實質上防止n型雜質佈植至n型區50N中。n型雜質可為佈植至區中達在約1013cm-3至約1014cm-3之範圍內之濃度的磷、砷、銻或類似者。在佈植之後,光阻劑諸如藉由可接受灰化製程來移除。
在p型區50P之佈植之後,光阻劑在p型區50P中形成於鰭片54、奈米結構56及STI區60上方。光阻劑經圖案化以暴露n型區50N。光阻劑可藉由使用旋塗技術來形成,且可使用可接受光微影術技術來圖案化。一旦光阻劑經組圖案化,p型雜質佈植便可在n型區50N中執 行,且光阻劑可充當遮罩以實質上防止p型雜質佈植至p型區50P中。p型雜質可為佈植至區中達在約1013cm-3至約1014cm-3之範圍內之濃度的硼、氟化硼、銦或類似者。在佈植之後,光阻劑可諸如藉由可接受灰化製程來移除。
在n型區50N及p型區50P之佈植之後,退火可經執行以修復佈植損害且活化經佈植的p型及/或n型雜質。在一些實施例中,磊晶鰭片之生長材料在生長期間可經原位摻雜,此舉可避免佈植,儘管可一起使用原位及佈植摻雜。
在第5圖中,虛設介電層62形成於鰭片54及奈米結構56上。虛設介電層62可為例如氧化矽、氮化矽、其組合或類似者,且可根據可接受技術沈積或熱生長。虛設閘極層64形成於虛設介電層62上方,且遮罩層66形成於虛設閘極層64上方。虛設閘極層64可沈積於虛設介電層62上方,且接著諸如藉由CMP經平坦化。遮罩層66可沈積於虛設閘極層64上方。虛設閘極層64可為導電或非導電材料,且可選自包括以下各者之群組:非晶矽、多晶矽(polycrystalline-silicon、polysilicon)、多晶矽鍺(多晶SiGe)、金屬性氮化物、金屬矽化物、金屬氧化物及金屬。虛設閘極層64可藉由物理氣相沈積(physical vapor deposition;PVD)、CVD、濺鍍沈積或其他技術來沈積,從而沈積所選擇材料。虛設閘極層64可由相對於絕緣材料,例如STI區60及/或虛設介電 層62之材料的蝕刻具有高蝕刻選擇性的材料製成。遮罩層66可包括例如氮化矽、氮氧化矽或類似者的一或多個層。在此實例中,單一虛設閘極層64及單一遮罩層66越過n型區50N及p型區50P來形成。儘管虛設介電層62繪示為覆蓋STI區60,但應瞭解,虛設介電層62可以其他方式形成。在一些實施例中,諸如當虛設介電層62經熱生長時,虛設介電層62經形成以僅覆蓋鰭片54及奈米結構56。
在第6圖中,遮罩層66使用可接受光微影術及蝕刻技術來圖案化以形成遮罩76。遮罩76之圖案接著藉由可接受蝕刻技術來轉印至虛設閘極層64以形成虛設閘極74。遮罩76之圖案視需要可藉由可接受蝕刻技術來進一步轉印至虛設介電層62以形成虛設介電質72。虛設閘極74覆蓋奈米結構56的將在後續處理中暴露以形成通道區的部分。具體而言,虛設閘極74沿著奈米結構56的將用以形成通道區68的部分延伸。遮罩76之圖案可用以實體分離相鄰的虛設閘極74。虛設閘極74亦可具有實質上垂直於(在處理變化內)鰭片54之縱向方向的縱向方向。遮罩76可視需要在諸如藉由可接受蝕刻技術圖案化之後被移除。
第7A圖至第19D圖圖示奈米FET之製造中的其他中間階段。第7A圖至第19D圖可適用於n型區50N及p型區50P兩者。n型區50N及p型區50P之結構中的差異(若存在)以伴隨每一圖的文字描述。
在第7A圖及第7B圖中,閘極間隔物80在遮罩76(若存在)、虛設閘極74及虛設介電質72之暴露側壁上形成於奈米結構56及鰭片54上方。閘極間隔物80可藉由保形形成絕緣材料且隨後蝕刻絕緣材料來形成。閘極間隔物80之絕緣材料可為氮化矽、碳氮化矽、氧碳氮化矽、其組合或類似者,且可藉由熱氧化、沈積、其組合或其類似者來形成。閘極間隔物80可由單層絕緣材料或多層絕緣材料來形成。在一些實施例中,閘極間隔物80各自包括多個氧碳氮矽層,其中每一層可具有氧碳氮矽的不同複合物。在一些實施例中,閘極間隔物80各自包括設置於兩個氮化矽層之間的氧化矽層。可形成其他間隔物結構。絕緣材料之蝕刻可為各向異性的。舉例而言,蝕刻製程可為乾式蝕刻,諸如RIE、NBE或類似者。在蝕刻之後,閘極間隔物80可具有直的側壁或彎曲側壁。在所圖示之實施例中,閘極間隔物80形成於STI區60的頂表面上,使得後續磊晶生長可被阻斷。
在形成閘極間隔物80之後,針對輕度摻雜源極/汲極(lightly doped source/drain;LDD)區之佈植可予以執行。在具有不同裝置類型之實施例中,類似於上文論述之佈植,諸如光阻劑之遮罩可形成於n型區50N上方,同時暴露p型區50P,且適當類型(例如,p型)雜質可佈植至在p型區50P中暴露的奈米結構56及鰭片54中。遮罩接著可被移除。隨後,諸如光阻劑之遮罩可形成於p型區50P上方,同時暴露n型區50N,且適當類型(例 如,n型)雜質可佈植至在n型區50N中暴露的奈米結構56及鰭片54中。遮罩接著可被移除。n型雜質可為先前論述之n型雜質中的任一者,且p型雜質可為先前論述之p型雜質中的任一者。輕度摻雜之源極/汲極區可具有在約1015cm-3至約1019cm-3之範圍內的雜質濃度。退火可用以修復佈植損害且活化經佈植之雜質。在佈植期間,通道區68保持由虛設閘極74覆蓋,使得通道區68保持實質上不含在LDD區中佈植的雜質。
請注意,以上揭示內容大體上描述形成間隔物及LDD區之製程。可使用其他製程及序列。舉例而言,可利用較少或額外間隔物,可利用步驟之不同序列(例如,額外間隔物可經形成且移除等),及/或類似者。此外,n型及p型裝置可使用不同結構及步驟來形成。
在第8A圖及第8B圖中,源極/汲極凹座82形成於奈米結構56中。在所圖示之實施例中,源極/汲極凹座82延伸穿過奈米結構56以暴露鰭片54。源極/汲極凹座82亦可延伸至基板50及/或鰭片54中。在各種實施例中,源極/汲極凹座82可延伸至基板50之頂表面但不蝕刻基板50;基板50可經蝕刻,使得源極/汲極凹座82之下表面設置於STI區60之頂表面下方;或類似者。源極/汲極凹座82可藉由使用各向異性蝕刻製程,諸如RIE、NBE或類似者蝕刻奈米結構56來形成。閘極間隔物80及虛設閘極74在用以形成源極/汲極凹座82的蝕刻製程期間共同地遮蔽奈米結構56、鰭片54及基板50之數個部分。 單一蝕刻製程可用以蝕刻奈米結構56中之每一者。在其他實施例中,多個蝕刻製程可用以蝕刻奈米結構56。經定時蝕刻製程可用以在源極/汲極凹座82達到所要深度之後停止蝕刻源極/汲極凹座82。
視需要,內部間隔物84可形成於第一奈米結構56A之剩餘部分的側壁,例如藉由源極/汲極凹座82暴露之彼等側壁上。如下文將更詳細地論述,源極/汲極區將隨後形成於源極/汲極凹座82中,且第一奈米結構56A將隨後由對應閘極結構替換。內部間隔物84充當隨後形成之源極/汲極區與隨後形成之閘極結構之間的隔離特徵。另外,內部間隔物84可用以防止藉由隨後蝕刻製程,諸如用以隨後形成閘極結構之蝕刻製程對隨後形成的源極/汲極區的損害。
作為用以形成內部間隔物84之實例,源極/汲極凹座82可經側向擴展。具體而言,第一奈米結構56A的藉由源極/汲極凹座82暴露之側壁之數個部分可經凹入。儘管第一奈米結構56A之側壁圖示為直的,但側壁可為凹下或凸起的。側壁可藉由可接受蝕刻製程,諸如對於第一奈米結構56A之材料為選擇性(例如,相較於第二奈米結構56B及鰭片54之材料以更快的速度選擇性地蝕刻第一奈米結構56A的材料)之蝕刻製程來凹入。蝕刻可為各向同性的。舉例而言,當鰭片54及第二奈米結構56B由矽形成且第一奈米結構56A由矽鍺形成時,蝕刻製程可為使用四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)、氫氧化銨(ammonium hydroxide;NH4OH)或類似者的濕式蝕刻。在另一實施例中,蝕刻製程可為使用諸如氟化氫(hydrogen fluoride;HF)氣體之氟化物類氣體的乾式蝕刻。在一些實施例中,相同蝕刻製程可經連續地執行以既形成源極/汲極凹座82且使第一奈米結構56A的側壁凹入。內部間隔物84可接著藉由保形地形成絕緣材料且隨後蝕刻絕緣材料來形成。絕緣材料可為諸如氮化矽或氮氧化矽的材料,儘管可利用任何合適材料,諸如具有小於約3.5之k值的低介電常數(low-dielectric constant;low-k)材料。所得材料可藉由諸如ALD、CVD或類似者的保形沈積製程來沈積。絕緣材料之蝕刻可為各向異性的。舉例而言,蝕刻製程可為乾式蝕刻,諸如RIE、NBE或類似者。儘管內部間隔物84之外部側壁圖示為相對於閘極間隔物80之側壁為平齊的,但內部間隔物84之外部側壁可延伸超出閘極間隔物80的側壁或者自該些側壁凹入。換言之,內部間隔物84可部分填充、完全填充或過度填充側壁凹處。此外,儘管內部間隔物84之側壁圖示為直的,但內部間隔物84之側壁可為凹下或凸起的。
在第9A圖至第9C中,磊晶源極/汲極區92形成於源極/汲極凹座82中。在一些實施例中,磊晶源極/汲極區92施加應力於通道區68上,藉此改良效能。磊晶源極/汲極區92形成於源極/汲極凹座82中,使得每一虛設閘極74設置於個別數對相鄰磊晶源極/汲極區92中。在一 些實施例中,閘極間隔物80用以分離磊晶源極/汲極區92與虛設閘極74,且內部間隔物84用以分離磊晶源極/汲極區92與第一奈米結構56A達適當側向距離,使得磊晶源極/汲極區92並不與所得奈米FET之隨後形成的閘極短路連接。
n型區50N中之磊晶源極/汲極區92可藉由遮蔽p型區50P來形成。接著,磊晶源極/汲極區92在n型區50N中磊晶生長於源極/汲極凹座82中。磊晶源極/汲極區92可包括對於n型奈米FET適當的任何可接受材料。舉例而言,n型區50N中之磊晶源極/汲極區92可包括施加張應力於通道區68上的材料,諸如矽、碳化矽、經磷摻雜之碳化矽、磷化矽,或類似者。n型區50N中之磊晶源極/汲極區92可具有自第二奈米結構56B及鰭片54之個別表面升高的表面,且可具有刻面。
p型區50P中之磊晶源極/汲極區92可藉由遮蔽n型區50N形成。接著,磊晶源極/汲極區92在p型區50P中磊晶生長於源極/汲極凹座82中。磊晶源極/汲極區92可包括針對p型奈米FET適當的任何可接受材料。舉例而言,p型區50P中之磊晶源極/汲極區92可包括施加壓縮應力於通道區68上的材料,諸如矽鍺、經硼摻雜之矽鍺、鍺、鍺錫,或類似者。p型區50P中之磊晶源極/汲極區92可具有自第二奈米結構56B及鰭片54的個別表面升高的表面,且可具有刻面。
類似於針對形成輕度摻雜源極/汲極區先前論述的 製程,磊晶源極/汲極區92、第二奈米結構56B及/或鰭片54可運用摻雜劑佈植以形成源極/汲極區,繼之以退火。源極/汲極區可具有在約1019cm-3至約1021cm-3之範圍內的雜質濃度。針對源極/汲極區之n型及/或p型雜質可具有先前論述之雜質中的任一者。在一些實施例中,磊晶源極/汲極區92可在生長期間經原位摻雜。
由於用以在n型區50N及p型區50P中形成磊晶源極/汲極區92的磊晶製程,磊晶源極/汲極區92的上表面具有刻面,該些刻面側向擴展超出第二奈米結構56B及鰭片54的側壁。在一些實施例中,相鄰磊晶源極/汲極區92在磊晶製程完成之後保持分離,如藉由第9B圖所圖示。在一些實施例中,此等刻面使得同一奈米FET之相鄰磊晶源極/汲極區92合併,如藉由第9C圖所圖示。在所圖示實施例中,閘極間隔物80形成於STI區60之頂表面上,藉此阻斷磊晶生長。在一些其他實施例中,用以形成閘極間隔物80之間隔物蝕刻可經調整以移除間隔物材料以允許磊晶生長的區延伸至STI區60的頂表面。
磊晶源極/汲極區92可包括一或多個半導體材料層。舉例而言,磊晶源極/汲極區92可包含第一半導體材料層、第二半導體材料層,及第三半導體材料層。任何數目個半導體材料層可用於磊晶源極/汲極區92。第一半導體材料層、第二半導體材料層及第三半導體材料層中的每一者可由不同半導體材料形成,且可經摻雜達不同摻雜劑濃度。在一些實施例中,第一半導體材料層可具有小於第 二半導體材料層且大於第三半導體材料層的摻雜劑濃度。在磊晶源極/汲極區92包含三個半導體材料層之實施例中,第一半導體材料層可經沈積,第二半導體材料層可沈積於第一半導體材料層上方,且第三半導體材料層可沈積於第二半導體材料層上方。
在第10A圖及第10B圖中,第一層間介電層96沈積於磊晶源極/汲極區92、閘極間隔物80、遮罩76(若存在)或虛設閘極74及STI區60上方。第一層間介電層96可由介電材料形成,且可藉由任何合適方法,諸如CVD、電漿增強型CVD(plasma-enhanced CVD;PECVD)、FCVD或類似者來沈積。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass;PSG);硼矽酸鹽玻璃(boro-silicate glass;BSG)、經硼摻雜之磷矽酸鹽玻璃(boron-doped phospho-silicate glass;BPSG)、未經摻雜矽酸鹽玻璃(undoped silicate glass;USG)或類似者。可使用藉由任何可接受製程形成之其他絕緣材料。
在一些實施例中,接觸蝕刻終止層(contact etch stop layer;CESL)94形成於第一層間介電層96與磊晶源極/汲極區92、閘極間隔物80及STI區60之間。接觸蝕刻終止層94可由相對於第一層間介電層96之蝕刻具有高蝕刻選擇性的介電材料,諸如氮化矽、氧化矽、氮氧化矽或類似者來形成。接觸蝕刻終止層94可藉由任何合適方法,諸如CVD、ALD或類似者來形成。
在第11A圖及第11B圖中,可移除製程經執行以使第一層間介電層96之頂表面與遮罩76(若存在)或虛設閘極74之頂表面平齊。在一些實施例中,可利用平坦化製程,諸如化學機械拋光(chemical mechanical polish;CMP)、回蝕製程、其組合,或類似者。平坦化製程亦可移除虛設閘極74上之遮罩76及閘極間隔物80沿著遮罩76之側壁的數個部分。在平坦化製程之後,閘極間隔物80、第一層間介電層96、接觸蝕刻終止層94及遮罩76(若存在)或虛設閘極74的頂表面為共面的(在製程變異內)。因此,遮罩76(若存在)或虛設閘極74之頂表面穿過第一層間介電層96暴露。在所圖示之實施例中,遮罩76保持,且平坦化製程使第一層間介電層96之頂表面與遮罩76的頂表面為齊平的。
在第12A圖及第12B圖中,遮罩76(若存在)及虛設閘極74在蝕刻製程中被移除,使得凹座98經形成。凹座98中虛設介電質72的數個部分亦可被移除。在一些實施例中,虛設閘極74藉由各向異性乾式蝕刻製程來移除。舉例而言,蝕刻製程可包括使用反應氣體之乾式蝕刻製程,該些反應氣體以快於第一層間介電層96或閘極間隔物80的速度選擇性蝕刻虛設閘極74。在移除之後,當虛設閘極74經蝕刻時,虛設介電質72可用作蝕刻終止層。虛設介電質72可接著在移除了虛設閘極74之後被移除。每一凹座98暴露及/或上覆通道區68的部分。充當通道區68的第二奈米結構56B之數個部分設置於數對相鄰磊晶源極/ 汲極區92之間。
第一奈米結構56A之剩餘部分接著經移除以擴展凹座98。第一奈米結構56A之剩餘部分可藉由可接受蝕刻製程來移除,該可接受蝕刻製程以快於第二奈米結構56B、鰭片54及STI區60之材料的速度選擇性地蝕刻第一奈米結構56A的材料。蝕刻可為各向同性的。舉例而言,當鰭片54及第二奈米結構56B由矽形成且第一奈米結構56A由矽鍺形成時,蝕刻製程可為使用四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)、氫氧化銨(ammonium hydroxide;NH4OH)或類似者的濕式蝕刻。在一些實施例(下文更詳細地址論述)中,修整製程經執行以減小第二奈米結構56B之暴露部分的厚度。
在第13A圖及第13B圖中,閘極介電質102及閘極電極104經形成從而替換閘極。閘極介電質102保形地沈積於凹座98中,諸如鰭片54之頂表面及側壁上,且第二奈米結構56B之頂表面、側壁及底表面上。閘極介電質102亦可沈積於第一層間介電層96、閘極間隔物80及STI區60的頂表面上。閘極介電質102包括一或多個介電層,諸如氧化物、金屬氧化物、金屬矽酸鹽、類似者或其組合的層。在一些實施例中,閘極介電質102包括氧化矽、氮化矽或其多層。在一些實施例中,閘極介電質102包括高k介電材料,且在此等實施例中,閘極介電質102可具有大於約7.0之k值,且可包括以下各者之金屬氧化物或矽酸鹽:鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及其組合 物。閘極介電質102可為多層的。舉例而言,在一些實施例中,閘極介電質102可各自包括藉由熱或化學氧化形成的氧化矽犧牲層及犧牲層上方的金屬氧化物層。閘極介電質102之材料可藉由分子束沈積(molecular-beam deposition;MBD)、ALD、PECVD或類似者來形成。
閘極電極104分別沈積於閘極介電質102上方,且填充凹座98的剩餘部分。閘極電極104可包括含金屬材料,諸如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、其組合或其多層。舉例而言,儘管圖示了單層閘極電極104,但閘極電極104可包含任何數目個襯裡層、任何數目個功函數調諧層及填充材料。構成閘極電極104之數個層的任何組合可沈積於第二奈米結構56B中之每一者之間且鰭片54與第二奈米結構56B之間的區域中。閘極電極104之材料可藉由ALD、PECVD或類似者來形成。
在填充凹座98之後,諸如CMP之平坦化製程可經執行以移除閘極介電質102及閘極電極104之材料的過量部分,該些過量部分是在第一層間介電層96及閘極間隔物80的頂表面上方。閘極介電質102及閘極電極104之材料的剩餘部分因此形成所得奈米FET的替換閘極。閘極介電質102及閘極電極104可被統稱為閘極結構100或「閘極堆疊」。
閘極介電質102在n型區50N與p型區50P中的形成可同時發生,使得每一區中之閘極介電質102由相 同材料形成,且閘極電極104之形成可同時發生,使得每一區中之閘極電極104由相同材料形成。在一些實施例中,每一區中之閘極介電質102可藉由獨特製程形成,使得閘極介電質102可為不同材料,且每一區中之閘極電極104可藉由獨特製程形成,使得閘極電極104可為不同材料。各種遮蔽遮罩在使用獨特製程時可用以遮蔽及暴露適當區。
在第14A圖至第14C圖中,源極/汲極觸點開口106穿過第一層間介電層96及接觸蝕刻終止層94形成,因此暴露磊晶源極/汲極區92S的子集。源極/汲極觸點開口106可諸如運用蝕刻製程使用可接受光微影術及蝕刻技術來初始形成於第一層間介電層96中,該蝕刻製程對於第一層間介電層96為選擇性的(例如,相較於接觸蝕刻終止層94之材料以較快速度蝕刻第一層間介電層96的材料)。舉例而言,源極/汲極觸點開口106可藉由使用氨(NH3)及氟化氫(HF)氣體之乾式蝕刻穿過第一層間介電層96初始地形成。源極/汲極觸點開口106接著使用可接受蝕刻技術,諸如運用蝕刻製程延伸通過接觸蝕刻終止層94,該蝕刻製程對於接觸蝕刻終止層94為選擇性的(例如,相較於磊晶源極/汲極區92S之材料以較快速度蝕刻接觸蝕刻終止層94的材料)。舉例而言,源極/汲極觸點開口106可藉由使用氟化物類氣體(例如,C4F6)及氫氣(H2)或氧氣(O2)氣體的乾式蝕刻延伸穿過接觸蝕刻終止層94。在一些實施例中,用以穿過第一層間介電層96蝕刻源極/汲極觸 點開口106的蝕刻製程參數(例如,蝕刻劑、持續時間、環境等)不同於用以穿過接觸蝕刻終止層94蝕刻源極/汲極觸點開口106的蝕刻製程參數。
在用於形成源極/汲極觸點開口106的製程中,具有源極/汲極觸點開口106之圖案的遮罩108形成於第一層間介電層96上方。遮罩108在用以穿過第一層間介電層96及接觸蝕刻終止層94蝕刻源極/汲極觸點開口106的蝕刻製程期間用作蝕刻遮罩。
在一些實施例中,遮罩108由光阻劑形成,諸如由單層光阻劑、雙層光阻劑、三層光阻劑或類似者來形成。舉例而言,遮罩108可為三層光阻劑,該三層光阻劑包括底部層(例如,底部抗反射塗佈(bottom anti-reflective coating;BARC)層)、中間層(例如,硬式遮罩)及頂部層(例如,光阻劑)。所使用之光阻劑的類型(例如,單層光阻劑、雙層光阻劑、三層光阻劑等)可取決於用以後續圖案化光阻劑的光微影術製程。舉例而言,在極紫外線(extreme ultraviolet;EUV)光微影術製程中,遮罩108可為單層光阻劑或雙層光阻劑。光阻劑可藉由旋塗、諸如CVD之沈積製程、其組合或類似者來形成,且可使用可接受光微影術技術來圖案化,以具有源極/汲極觸點開口106的圖案。
在一些實施例中,遮罩108由間隔物形成。舉例而言,犧牲層可形成於第一層間介電層96上方,且使用光微影術製程來圖案化。間隔物使用自對準製程沿著圖案化 犧牲層來形成。犧牲層接著經移除,且剩餘間隔物可接著用作遮罩108。其他類型之遮罩亦可用以蝕刻源極/汲極觸點開口106。
源極/汲極觸點將隨後形成於源極/汲極觸點開口106中,且附接至磊晶源極/汲極區92S的前側。如上文所注釋,磊晶源極/汲極區92P之第一子集將連接至專用電力軌,且磊晶源極/汲極區92S的第二子集將不連接至專用電力軌。如下文將更詳細地址論述,電力軌將隨後經由磊晶源極/汲極區92P的背側附接。因此,至磊晶源極/汲極區92P之前側的觸點為非所要的。根據各種實施例,源極/汲極觸點開口106形成於磊晶源極/汲極區92S上方且暴露該些磊晶源極/汲極區的前側,而磊晶源極/汲極區92P的前側保持完全由介電材料(例如,接觸蝕刻終止層94)覆蓋。換言之,磊晶源極/汲極區92P中每一者的前側之整體藉由介電材料(例如,接觸蝕刻終止層94)覆蓋。在磊晶源極/汲極區92P上方形成源極/汲極觸點開口106可以若干方式被避免。
在一些實施例中,單一圖案化製程用以圖案化遮罩108。當遮罩108由光阻劑形成時,可使用單一圖案化。在此類實施例中,光阻劑可經形成以在磊晶源極/汲極區92S但非磊晶源極/汲極區92P上方具有開口的圖案。光阻劑可藉由將光阻劑暴露至經圖案化能量源(例如,經圖案化光源)來圖案化以便誘發化學反應,因此使物理改變誘發於光阻劑的暴露至圖案化光源之彼等部分中。經圖案化之 能量源僅具有磊晶源極/汲極區92S之圖案,且並不具有磊晶源極/汲極區92P的圖案。光阻劑可接著藉由塗覆一顯影劑至暴露光阻劑來顯影以取決於所要圖案化來利用實體改變且選擇性地移除光阻劑的經暴露部分或光阻劑的未經暴露部分。
在一些實施例中,多重圖案化製程用以圖案化遮罩108。當遮罩108由間隔物形成時,可使用多重圖案化。在此類實施例中,遮罩108可運用磊晶源極/汲極區92P、92S之全部上方的開口之圖案化來初始地形成。磊晶源極/汲極區92P上方之開口之圖案的數個部分接著經覆蓋或填充,使得僅開口之圖案的在磊晶源極/汲極區92S上方之數個部分保持於遮罩108中。磊晶源極/汲極區92P上方之開口可藉由形成額外遮罩,諸如圖案化光阻劑、圖案化硬式遮罩或類似者來覆蓋或填充。
在磊晶源極/汲極區92S上方選擇性地形成源極/汲極觸點開口106可包括額外處理步驟,特別是當多重圖案化製程用以圖案化遮罩108時。然而,如上文所注釋,至磊晶源極/汲極區92P之前側的觸點為非所要的。若非所要觸點經形成至磊晶源極/汲極區92P的前側,則此類觸點將保持隔離及未使用,從而增大奈米FET的寄生電容。因此,儘管避免至磊晶源極/汲極區92P之前側之觸點的形成包括額外處理步驟,但奈米FET之執行可藉由避免此類觸點之形成來改良。
在第15A圖至第15C圖中,移除遮罩108。當 遮罩108包括光阻劑時,光阻劑可藉由例如可接受灰化製程來移除。當遮罩108包括其他層(例如,BARC層、硬式遮罩、間隔物等)時,接受灰化製程可用以移除層。
金屬-半導體合金區110視需要可形成於源極/汲極觸點開口106中,諸如磊晶源極/汲極區92S的藉由源極/汲極觸點開口106暴露的數個部分上。金屬-半導體合金區110可為由金屬矽化物(例如,矽化鈦、矽化鈷、矽化鎳等)形成之矽化物區、由金屬鍺化物(例如,鍺化鈦、鍺化鈷、鍺化鎳等)形成之鍺化物區、由金屬矽化物及金屬鍺化物形成的矽-鍺區,或類似者。金屬-半導體合金區110可藉由將金屬沈積於源極/汲極觸點開口106中且接著執行熱退火製程來形成。金屬可為能夠與磊晶源極/汲極區92S的半導體材料(例如,矽、矽鍺、鍺等)反應以形成低電阻金屬半導體合金的任何金屬,諸如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或其合金。金屬可藉由諸如ALD、CVD、PVD或類似者的沈積製程來沈積。在實施例中,金屬-半導體合金區110為由鈦-矽形成的矽化物區。在熱退火製程中,諸如濕式清洗之清洗製程可經執行以自源極/汲極觸點開口106,諸如自第一層間介電層96之頂表面移除任何殘餘金屬。
下部源極/汲極觸點112接著形成於源極/汲極觸點開口106中。諸如擴散阻障層、黏著層或類似者之襯裡以及導電材料形成於源極/汲極觸點開口106中。襯裡可包括鈦、氮化鈦、鉭、氮化鉭或類似者。襯裡可藉由保形沈 積製程,諸如原子層沈積(atomic layer deposition;ALD)、化學氣相沈積(chemical vapor deposition;CVD)、物理氣相沈積(physical vapor deposition;PVD)或類似者來沈積。在一些實施例中,襯裡可包括黏著劑層,且黏著層之至少一部分可經處置以形成擴散阻障層。導電材料可為鎢、鈷、釕、鋁、鎳、銅、銅合金、銀、金或類似者。導電材料可藉由ALD、CVD、PVD或類似者來沈積。諸如CMP之平坦化製程可經執行以自第一層間介電層96之頂表面移除過量材料。源極/汲極觸點開口106中之剩餘襯裡及導電材料形成下部源極/汲極觸點112。下部源極/汲極觸點112實體且電耦接至金屬-半導體合金區110(若存在)或磊晶源極/汲極區92S的前側。下部源極/汲極觸點112、閘極電極104、第一層間介電層96及閘極間隔物80的頂表面為共面的(在製程變異內)。
在第16A圖至第16C圖中,溝槽114穿過第一層間介電層96形成,因此暴露接觸蝕刻終止層94在磊晶源極/汲極區92P上方的數個部分。溝槽114可使用可接受光微影術及蝕刻技術,諸如運用蝕刻製程形成於第一層間介電層96中,該蝕刻製程對於第一層間介電層96為選擇性的(例如,相較於接觸蝕刻終止層94之材料以更快速度蝕刻第一層間介電層96的材料)。舉例而言,溝槽114可藉由使用氨(NH3)及氫氟酸(HF)氣體的乾式蝕刻穿過第一層間介電層96來形成。在一些實施例中,溝槽114可使用類似於用以穿過第一層間介電層96初始地形成源 極/汲極觸點開口106之彼等參數的類似蝕刻製程參數形成於第一層間介電層96中。
在用於形成溝槽114之製程期間,具有溝槽114之圖案的遮罩116形成於第一層間介電層96上方。遮罩116在用以穿過第一層間介電層96蝕刻溝槽114的蝕刻製程期間用作蝕刻遮罩。遮罩116可以與關於第14A圖至第14C圖論述之遮罩108類似的方式且使用類似材料來形成。如藉由第16C圖所繪示,溝槽114越過多個相鄰磊晶源極/汲極區92P延伸。換言之,溝槽114越過多個鰭片54延伸(或越過鰭片54的多個群組延伸,當同一奈米FET之相鄰磊晶源極/汲極區92已合併時,如藉由第9C圖所圖示)。
在第17A圖至第17C圖中,移除遮罩116。當遮罩116包括光阻劑時,光阻劑可藉由例如可接受灰化製程來移除。當遮罩116包括其他層(例如,BARC層、硬式遮罩、間隔物等)時,接受蝕刻遮罩可用以移除層。
導線118接著形成於溝槽114中。諸如擴散阻障層、黏著層或類似者的襯裡及導電材料形成於溝槽114中。襯裡可包括鈦、氮化鈦、鉭、氮化鉭或類似者。襯裡可藉由保形沈積製程,諸如原子層沈積(atomic layer deposition;ALD)、化學氣相沈積(chemical vapor deposition;CVD)、物理氣相沈積(physical vapor deposition;PVD)或類似者來沈積。在一些實施例中,襯裡可包括黏著層,且黏著層之至少一部分可經處置以形 成擴散阻障層。導電材料可為鎢、鈷、釕、鋁、鎳、銅、銅合金、銀、金或類似者。導電材料可藉由ALD、CVD、PVD或類似者來沈積。諸如CMP之平坦化製程可經執行以自第一層間介電層96之頂表面移除過量材料。溝槽114中之剩餘襯裡及導電材料形成導線118。導線118、下部源極/汲極觸點112、閘極電極104、第一層間介電層96及閘極間隔物80的頂表面為共面的(在製程變異內)。
無金屬-半導體合金區形成於溝槽114中,且導線118藉由接觸蝕刻終止層94與磊晶源極/汲極區92P實體且電隔離。如上文所注釋,至磊晶源極/汲極區92P之前側的觸點為非所要的。第一層間介電層96在磊晶源極/汲極區92P上方的部分可因此用於其他用途。具體而言,導線118形成於第一層間介電層96在磊晶源極/汲極區92P上方的數個部分中,同時磊晶源極/汲極區92P之前側保持藉由介電材料(例如,接觸蝕刻終止層94)完全覆蓋,其中介電材料設置於導線118與磊晶源極/汲極區92P的前側之間。如下文更詳細地論述,導線118可用於額外佈線。舉例而言,導線118可用以自下伏互連結構投送信號(下文更詳細地論述)。
導線118越過多個相鄰磊晶源極/汲極區92P延伸,且在STI區60上方(例如,在磊晶源極/汲極區92P之間且相鄰於該些磊晶源極/汲極區)具有數個部分。導線118在STI區60上方的數個部分相較於導線118在磊晶源極/汲極區92P上方的數個部分具有較大高度。舉例而 言,導線118在磊晶源極/汲極區92P上方之數個部分可具有範圍為約5nm至約30nm的高度H1,且導線118在STI區60上方的部分可具有範圍為約50nm至約100nm的高度H2。因為下部源極/汲極觸點112通過接觸蝕刻終止層94形成但導線118並非通過接觸蝕刻終止層形成,所以下部源極/汲極觸點112因此相較於導線118在磊晶源極/汲極區92P上方的部分具有較大高度。舉例而言,下部源極/汲極觸點112可具有範圍為約10nm至約40nm的高度H3。高度H3大於高度H1,且小於高度H2
第18圖為半導體裝置在類似於第17圖至第17C圖之處理半導體裝置之步驟處的簡化圖,除了更多閘極結構100經繪示且一些特徵為了圖示清楚經省略外。如圖所示,下部源極/汲極觸點112設置於個別鰭片54上方。導線118越過多個鰭片54延伸(或越過鰭片54之多個群組延伸,當同一奈米FET之相鄰源極/汲極區92已合併時,如藉由第9C圖所圖示)。導線118中之每一者饋可延伸通過僅n型區50N、僅p型區50P,或n型區50N及p型區50P兩者。導線118在平行於閘極結構100之縱向軸線的方向上相較於下部源極/汲極觸點112具有較大長度。舉例而言,下部源極/汲極觸點112可具有範圍為約15nm至約50nm的長度L1,且導線118可具有範圍為約50nm至約150nm的長度L2。在一些實施例中,導線118及下部源極/汲極觸點112在平行於鰭片54之縱向軸線的方向上具有相同寬度。舉例而言,下部源極/汲極觸點112 及導線118可各自具有範圍為約10nm至約30nm的寬度W1
在第19A圖至第19D圖中,第二層間介電層122沈積於第一層間介電層96、閘極電極104、下部源極/汲極觸點112及導線118上方。第二層間介電層122可由選自與第一層間介電層96相同之候選材料群組的材料形成,且可使用選自與用於沈積第一層間介電層96相同之候選方法群組的方法來沈積。第一層間介電層96及第二層間介電層122可由相同材料形成,或可包括不同材料。在形成之後,第二層間介電層122可諸如藉由CMP平坦化。在一些實施例中,蝕刻終止層形成於第一層間介電層96與第二層間介電層122之間。蝕刻終止層可包括具有不同於第二層間介電層122之材料之蝕刻速度的介電材料,諸如氮化矽、氧化矽、氮氧化矽或類似者。
閘極觸點124、上部源極/汲極觸點126及接線觸點128接著經形成,從而延伸穿過第二層間介電層122。觸點開口穿過第二層間介電層122形成。觸點開口可使用可接受光微影術及蝕刻技術來形成。諸如擴散阻障層、黏著層或類似者的襯裡及導電材料接著形成於觸點開口中。襯裡可包括鈦、氮化鈦、鉭、氮化鉭或類似者。襯裡可藉由保形沈積製程,諸如原子層沈積(atomic layer deposition;ALD)、化學氣相沈積(chemical vapor deposition;CVD)、物理氣相沈積(physical vapor deposition;PVD)或類似者來沈積。在一些實施例中, 襯裡可包括黏著層,且黏著層之至少一部分可經處置以形成擴散阻障層。導電材料可為鎢、鈷、釕、鋁、鎳、銅、銅合金、銀、金或類似者。導電材料可藉由ALD、CVD、PVD或類似者來沈積。諸如CMP之平坦化製程可經執行以自第二層間介電層122之頂表面移除過量材料。觸點開口中之剩餘襯裡及導電材料形成閘極觸點124、上部源極/汲極觸點126及接線觸點128。閘極觸點124實體且電耦接至閘極電極104。上部源極/汲極觸點126實體且電耦接至下部源極/汲極觸點112。接線觸點128實體且電耦接至導線118。
如下文將更詳細地論述,第一互連結構(例如,前側互連結構)將形成於基板50上方。基板50之一些或全部將被移除且由第二互連結構(例如,背側互連結構)替換。因此,主動裝置之裝置層130形成於前側互連結構與背側互連結構之間。前側及背側互連結構各自包含導電特徵,該些導電特徵電連接至裝置層130之奈米FET。前側互連結構之導電特徵(例如,金屬化圖案,亦被稱作互連件)將電連接至磊晶源極/汲極區92S及閘極電極104的前側以形成功能電路,諸如邏輯電路、記憶體電路、影像感測器電路或類似者。背側互連結構之導電特徵(例如,電力軌)將電連接至磊晶源極/汲極區92P的背側,以提供參考電壓、供電電壓或類似者至功能電路。儘管裝置層130描述為具有奈米FET,但其他實施例可包括裝置層130,該裝置層具有不同類型之電晶體(例如,平面FET、FinFET、 TFT或類似者)。
第20圖至第27D圖為根據一些實施例的製造半導體裝置中其他中間階段的各種視圖。具體而言,圖示奈米FET之前側及背側互連結構的製造。第20圖、第21圖、第22圖、第23圖、第24圖、第25圖、第26圖及第27A圖為沿著第1圖中之參考橫截面A-A圖示的橫截面視圖。第27B圖為沿著第1圖中之參考橫截面B-B圖示的橫截面視圖。第27C圖為沿著第1圖中之參考橫截面C-C圖示的橫截面視圖,除了繪示兩個鰭片外。第27D圖為沿著第1圖中之參考橫截面D-D圖示的橫截面視圖,除了繪示兩個鰭片外。
在第20圖中,互連結構140形成於裝置層130上,例如,第二層間介電層122上。互連結構140亦可被稱為前側互連結構,此是因為前側互連結構形成於基板50/裝置層130的前側(例如,基板50的裝置層130形成於上面之一側)處。
互連結構140可包含形成於一或多個堆疊介電層144中之導電特徵142的一或多個層。介電層144中之每一者可包含介電材料,諸如低k介電材料、超低k(extra low-k;ELK)介電材料,或類似者。介電層144可使用適當製程,諸如CVD、ALD、PVD、PECVD或類似者來沈積。
導電特徵142可包含導線,及互連導線層的導電通孔。導電通孔可延伸穿過介電層144中之個別介電層, 以提供導線層之間的垂直連接。導電特徵142可經由任何可接受製程來形成。舉例而言,導電特徵142可經由鑲嵌製程,諸如單一鑲嵌製程、雙重鑲嵌製程或類似者來形成。在鑲嵌製程中,個別介電層144利用光微影術及蝕刻技術之組合來圖案化以形成對應於導電特徵142之所要圖案的溝槽。可選擴散阻障及/或可選黏著層可經沈積,且溝槽可接著由導電材料填充。阻障層之合適材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、氧化鈦或其他替代例,且用於導電材料之合適材料包括銅、銀、金、鎢、鋁、其組合,或類似者。在實施例中,導電特徵142可藉由沈積銅或銅合晶種層及藉由電鍍填充溝槽來形成。化學機械平坦化(chemical mechanical planarization;CMP)製程或類似者可用以自個別介電層144之表面移除過量導電材料,且使表面平坦化以供後續處理。
在所圖示之實例中,圖示導電特徵142之五個層及介電層144。然而,應瞭解,互連結構140可包含設置於任何數目個介電層中的任何數目個導電特徵。互連結構140之導電特徵142電連接至閘極觸點124、上部源極/汲極觸點126及接線觸點128,以形成功能電路。換言之,導電特徵142互連導線118、磊晶源極/汲極區92及閘極介電質104。在一些實施例中,藉由互連結構140形成之功能電路可包含邏輯電路、記憶體電路、影像感測器電路或類似者。第二層間介電層122、閘極觸點124、上部源極/汲極觸點126及接線觸點128亦可被視為互連結構 140的部分,諸如互連結構140之第一位準之導電特徵的部分。
如上文所注釋,導線118可用於額外佈線。因此,導線118中之每一者耦接至多個接線觸點128,使得一個導線118連接至互連結構140之多個導電特徵142。導線118可充當互連結構140的額外互連件。舉例而言,藉由導電特徵142中之第一者進行的信號載體可向下佈線至導線118,且接著佈線回至導電特徵142中的第二者。信號佈線之增大的靈活性可因此被達成。
在第21圖中,載體基板146藉由接合層148(例如,包括接合層148A、148B)接合至互連結構140的頂表面。載體基板146可為玻璃載體基板、陶瓷載體基板、半導體載體基板(例如,矽基板)、晶圓(例如,矽晶圓)或類似者。載體基板146可在後續處理步驟期間且在完工裝置中提供結構支撐。載體基板146實質上無任何主動或被動裝置。
在各種實施例中,載體基板146可使用諸如介電質至介電質接合或類似者的合適技術接合至互連結構140。介電質至介電質接合可包含分別將接合層148A、148B沈積於互連結構140及載體基板146上。在一些實施例中,接合層148A包含藉由CVD、ALD、PVD或類似者沈積的氧化矽(例如,高密度電漿(high density plasma;HDP)氧化物或類似者)。接合層148B可同樣為在接合之前使用例如CVD、ALD、PVD、熱氧化或類似者形成的 氧化物層。其他合適材料可又用於接合層148A、148B。
介電質至介電質接合製程可進一步包括應用表面處置至接合層148中之一或多者。表面處置可包括電漿處置。電漿處置可在真空環境中執行。在電漿處置之後,表面處置可進一步包括可應用至接合層148中之一或多者的清洗製程(例如,運用去離子水的沖洗或類似者)。載體基板146接著與互連結構140對準,且兩者彼此相抵地按下以起始載體基板146至互連結構140的預接合。預接合可在室溫(例如,在約20℃至約25℃的範圍內)下執行。在預接合之後,退火製程可藉由例如加熱互連結構140與載體基板146至約170℃的溫度來應用。
在第22圖中,中間結構經翻轉,使得基板50之背側面向下。基板50之背側指與基板50的裝置層130形成於上面之前側相對的側。基板50接著經薄化以移除基板50的背側部分(或至少減小背側部分的厚度)。薄化製程可包含平坦化製程(例如,機械研磨、化學機械拋光(chemical mechanical polish;CMP)或類似者)、回蝕製程、其組合或類似者。薄化製程在裝置層130的背側處暴露STI區60及鰭片54的表面。
介電層152接著沈積於裝置層130之背側上方,諸如鰭片54及STI區60上方。介電層152為形成於裝置層130上之互連結構的部分。介電層152可實體接觸鰭片54及STI區60之剩餘部分的表面。介電層152可由選自第一層間介電層96之候選材料之相同群組的材料形 成,且可使用選自用於沈積第一層間介電層96之候選方法之相同群組的方法沈積。第一層間介電層96及介電層152可由同一材料形成,或可包括不同材料。
在第23圖中,電力軌觸點開口154穿過介電層152及鰭片54形成。電力軌觸點開口154可使用遮罩134作為蝕刻遮罩使用可接受光微影術及蝕刻技術,諸如運用蝕刻製程形成於介電層152中,該蝕刻製程對於介電層152為選擇性的(例如,相較於鰭片54之材料以較快速度蝕刻介電層152的材料)。舉例而言,電力軌觸點開口154可藉由使用氨(NH3)及氫氟酸(HF)氣體的乾式蝕刻穿過介電層152初始地形成。電力軌觸點開口154接著使用可接受光微影術及蝕刻技術,諸如運用蝕刻製程穿過鰭片54延伸,該蝕刻製程對於鰭片54為選擇性(例如,相較於磊晶源極/汲極區92P之材料以較快速度蝕刻鰭片54之材料)。舉例而言,電力軌觸點開口154可藉由使用氟化物類氣體,諸如氟化氫(HF)氣體的乾式蝕刻延伸穿過鰭片54。
在第24圖中,金屬-半導體合金區156視需要形成於電力軌觸點開口154,諸如磊晶源極/汲極區92P之藉由電力軌觸點開口154暴露的部分上。金屬-半導體合金區156可為由金屬矽化物(例如,矽化鈦、矽化鈷、矽化鎳等)形成的矽化物區、由金屬鍺化物(例如,鍺化鈦、鍺化鈷、鍺化鎳等)形成的鍺化物區、由金屬矽化物及金屬鍺化物兩者形成的矽-鍺區,或類似者。金屬-半導體合金 區156可藉由將金屬沈積於電力軌觸點開口154中且接著執行熱退火製程來形成。金屬可為能夠與磊晶源極/汲極區92P之半導體材料(例如,矽、矽鍺、鍺等)反應以形成低電阻金屬-半導體合金的任何金屬,諸如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或其合金。金屬可藉由諸如ALD、CVD、PVD或類似者的沈積製程來沈積。在實施例中,金屬-半導體合金區156為由鈦-矽形成的矽化物區。在熱退火製程之後,諸如濕式清洗的清洗製程可經執行以自電力軌觸點開口154,諸如自介電層152及STI區60之頂表面移除任何殘餘金屬。
電力軌觸點158接著形成於電力軌觸點開口154中。諸如擴散阻障層、黏著層或類似者的襯裡及導電材料形成於電力軌觸點開口154中。襯裡可包括鈦、氮化鈦、鉭、氮化鉭或類似者。襯裡可藉由保形沈積製程,諸如原子層沈積(atomic layer deposition;ALD)、化學氣相沈積(chemical vapor deposition;CVD)、物理氣相沈積(physical vapor deposition;PVD)或類似者來沈積。在一些實施例中,襯裡可包括黏著層,且黏著層之至少一部分可經處置以形成擴散阻障層。導電材料可為鎢、鈷、釕、鋁、鎳、銅、銅合金、銀、金或類似者。導電材料可藉由ALD、CVD、PVD或類似者來沈積。諸如CMP之平坦化製程可經執行以自介電層152及STI區60的頂表面移除過量材料。電力軌觸點開口154中之剩餘襯裡及導電材料形成電力軌觸點158。電力軌觸點158實體 且電耦接至金屬-半導體合金區156(若存在)或磊晶源極/汲極區92P的背側。電力軌觸點158、介電層152及STI區60的頂表面為共面的(在製程變異內)。
在第25圖中,導電特徵160及介電層162形成於介電層152及電力軌觸點158上方。介電層162及導電結構160亦為形成於裝置層130上的互連結構之部分。介電層162可由選自第一層間介電層96之候選材料之相同群組的材料形成,且可使用選自用於沈積第一層間介電層96之候選方法之相同群組的方法沈積。第一層間介電層96及介電層162可由同一材料形成,或可包括不同材料。
導電特徵160形成於介電層162中,且可為導線。形成導電特徵160可包括使用光微影術與蝕刻製程之組合在介電層162中圖案化凹座。介電層162中開口的圖案可對應於導電特徵160的圖案。導電特徵160接著藉由將導電材料沈積於凹座中來形成。在一些實施例中,導電特徵160包含金屬層,該金屬層可為簡單層,或包含由不同材料形成之複數個子層的複合層。在一些實施例中,導電特徵160包含銅、鋁、鈷、鎢、鈦、鉭、釕或類似者。可選擴散阻障及/或可選黏著層可在用導電材料填充凹座之前沈積。阻障層/黏著層的合適材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、氧化鈦或類似者。導電特徵160之材料可使用例如CVD、ALD、PVD、電鍍或類似者來形成。導電特徵160經由金屬-半導體合金區156(若存在)及電力軌觸點158電連接至磊晶源極/汲極區92P。平坦化製程(例 如,CMP、研磨、回蝕或類似者)可經執行以移除導電特徵160的形成於介電層162上方之過量部分。
導電特徵160中之一些或全部為電力軌160P,該些電力軌為將磊晶源極/汲極區92P電連接至參考電壓、供電電壓或類似者的導線。藉由將電力軌160P置於裝置層130之背側處而非裝置層130的前側處,可達成優勢。舉例而言,奈米FET之閘極密度及/或互連結構140的互連件密度可被增大。另外,裝置層130之背側可適應較寬電力軌,從而減小電阻且增大至奈米FET之電力輸送的效率。舉例而言,導電特徵160之寬度可為互連結構140之第一位準導線(例如,導線142A)之寬度的至少兩倍。
在第26圖中,互連結構150之剩餘部分形成於裝置層130之背側處,諸如介電層162及導電特徵160上方。互連結構150亦可被稱作背側互連結構,此係因為其形成於裝置層130的背側上。互連結構150之剩餘部分可類似於互連結構140。舉例而言,互連結構150可包含類似於互連結構140之材料,且使用類似製程形成。詳言之,互連結構150可包含形成於堆疊介電層166中之導電特徵164的堆疊層。導電特徵164可包括佈線導線(例如,從而佈線至隨後形成之接觸襯墊及外部連接器或自該些接觸襯墊及外部連接器佈線)。導電特徵164可進一步包括導電通孔,該些導電通孔在介電層166中延伸以提供導線之堆疊層之間的垂直互連。互連結構150因此包括介電層152、162、166以及導電特徵160、164。電力軌觸點 158在互連結構150與裝置層130之間延伸。
在一些實施例中,互連結構150之導電特徵可經圖案化以包括一或多個嵌入式被動裝置,諸如電阻器、電容器、電感器或類似者。嵌入式被動裝置可與導電特徵160、164(例如,電力軌160P)一起整合以在裝置層130之背側處提供電路(例如,電力電路)。
在第27A圖至第27D中,鈍化層172、凸塊下金屬層174及外部連接器176形成於互連結構150上方。鈍化層172可包含聚合物,諸如聚醯亞胺、聚苯并惡唑(polybenzoxazole;PBO)、苯并環丁烯(benzocyclobutene;BCB)類聚合物,或類似者。替代地,鈍化層172可包括無機介電材料,諸如氧化矽、氮化矽、碳化矽、氮氧化矽或類似者。鈍化層172之材料可藉由例如CVD、PVD、ALD或類似者來沈積。
凸塊下金屬層174通過鈍化層172至互連結構150之導電特徵164來形成,且外部連接器176形成於凸塊下金屬層174上。凸塊下金屬層174可包含銅、鎳、金或類似者的一或多個層,該些層藉由電鍍製程或類似者來形成。外部連接器176(例如,焊球)形成於凸塊下金屬層174上。外部連接器176之形成可包括將焊球置放於凸塊下金屬層174之暴露部分上,且接著對焊球進行回焊。在替代性實施例中,外部連接器176之形成包括執行電鍍步驟以在最頂部導電特徵164上方形成焊料區,且接著對焊料區進行回焊。在另一實施例中,外部連接器176為具有 實質上垂直側壁的金屬連接器,諸如微型凸塊。凸塊下金屬層174及外部連接器176可用以提供輸入/輸出連接至其他電組件,諸如其他裝置晶粒、重分佈結構、印刷電路板(printed circuit board;PCB)、母板或類似者。凸塊下金屬層174及外部連接器176亦可被稱作背側輸入/輸出襯墊,該些背側輸入/輸出襯墊可提供信號、參考電壓、供應電壓及/或接地連接至裝置層130的奈米FET。
第28A圖至第28D圖為根據一些其他實施例之半導體裝置的各種視圖。此實施例類似於第27A圖至第27D圖之實施例,除了導線118及接線觸點128經忽略,使得無導電特徵設置在第一層間介電層96之在磊晶源極/汲極區92P上方/下方的數個部分中外。導線118及接線觸點128在不需要額外佈線時可被省略。省略導線118及接線觸點128可有助於進一步減低奈米FET之寄生電容,從而允許其效能被改良。
第29圖為根據一些其他實施例之半導體裝置的橫截面視圖。此實施例類似於第27A圖之實施例,除了第二奈米結構56B被修整外。修整將第二奈米結構56B之厚度自第二厚度T2(上文關於第2圖所論述)減小至第三厚度T3,其中第三厚度T3是在約3nm至約8nm之範圍內,且第三厚度T3相較於第二厚度T2小出約40%至約70%。修整可與凹座98之形成(關於第12A圖及第12B圖所論述)同時執行,或可在凹座98形成之後執行。舉例而言,第二奈米結構56B及鰭片54之經暴露部分可藉由可接受 蝕刻製程來修整,該可接受蝕刻製程相較於第一奈米結構56A、內部間隔物84及閘極間隔物80的材料以較快速度選擇性地蝕刻第二奈米結構56B及鰭片54的材料。蝕刻可為各向同性的。舉例而言,當鰭片54及第二奈米結構56B由矽形成,且第一奈米結構56A由矽鍺形成時,蝕刻製程可為使用經稀釋之氨水-過氧化氫混合物(ammonium hydroxide-hydrogen peroxide mixture;APM)、硫酸-過氧化氫混合物(sulfuric acid-hydrogen peroxide mixture;SPM)或類似者的濕式蝕刻。
實施例可達成優勢。因為電力軌160P附接至磊晶源極/汲極區92P之背側,所以至磊晶源極/汲極區92P之前側的觸點為非所要的。避免形成至磊晶源極/汲極區92P之前側的非所要觸點有助於所得半導體裝置不具有經隔離且未予以使用的觸點。裝置層130之奈米FET的寄生電容可因此被減小,從而增大其效能。另外,避免此類觸點之形成在第一層間介電層96中釋放可用於其他用途的空間。舉例而言,導線118可經形成且用於額外互連佈線。信號佈線之增大的靈活性可因此被達成。
在本揭露的一些實施例中,一種半導體裝置包括:一第一鰭片;第一鰭片上方之一閘極結構;相鄰於閘極結構的一第一源極/汲極區;第一源極/汲極區上方之一蝕刻終止層;一導線,導線是在蝕刻終止層上方,導線藉由蝕刻終止層與第一源極/汲極區隔離,導線之一頂表面與閘極 結構之一頂表面共面;及一電力軌觸點,電力軌觸點延伸穿過第一鰭片,電力軌觸點連接至第一源極/汲極區。
在一些實施例中,半導體裝置進一步包括:相鄰於閘極結構之一第二源極/汲極區,蝕刻終止層設置於第二源極/汲極區上方;及延伸穿過蝕刻終止層之一源極/汲極觸點,源極/汲極觸點連接至第二源極/汲極區,源極/汲極觸點的一頂表面與導線之頂面及閘極結構之頂表面共面。在一些實施例中,半導體裝置進一步包括:閘極結構及導線上方之介電層;及介電層中之互連件,互連件互連導線、源極/汲極觸點及閘極結構。在半導體裝置之一些實施例中,導線具有一第一部分及一第二部分,第一部分設置於第一源極/汲極區上方,第二部分相鄰於第一源極/汲極區設置。在半導體裝置之一些實施例中,導線之第一部分具有一第一高度,導線之第二部分具有一第二高度,且源極/汲極觸點具有一第三高度,第三高度大於第一高度且小於第二高度。在半導體裝置之一些實施例中,導線具有一第一長度,源極/汲極觸點具有一第二長度,且第一長度大於第二長度,第一長度及第二長度中的每一者在平行於閘極結構之一縱向軸線的一方向上量測。在半導體裝置之一些實施例中,導線具有一第一寬度,且源極/汲極觸點具有第一寬度,第一寬度在平行於第一鰭片之一縱向軸線的一方向上量測。在一些實施例中,半導體裝置進一步包括:一第二鰭片,閘極結構在第二鰭片上方;及一第二源極/汲極區,其相鄰於閘極結構且是在第二鰭片中,蝕刻終止層設置於第二源 極/汲極區上方,導線越過第一源極/汲極區及第二源極/汲極區延伸。在一些實施例中,半導體裝置進一步包括:第一鰭片上方之一奈米結構,閘極結構包圍奈米結構。
在本揭露的一些實施例中,一種半導體裝置包括:包括一電力軌之一背側互連結構;包括互連件之一前側互連結構;及一裝置層,裝置層是在背側互連結構與前側互連結構之間,裝置層包括一電晶體,電晶體包括:一第一磊晶源極/汲極區;一源極/汲極觸點,源極/汲極觸點接觸第一磊晶源極/汲極區的一前側,互連件連接至源極/汲極觸點;一第二磊晶源極/汲極區;及一電力軌觸點,電力軌觸點接觸第二磊晶源極/汲極區之一背側,電力軌連接至電力軌觸點,第二磊晶源極/汲極區之一前側藉由介電材料完全覆蓋。
在半導體裝置之一些實施例中,裝置層進一步包括:一導線,介電材料設置於導線與第二磊晶源極/汲極區的前側之間,導線之表面與源極/汲極觸點共面。在裝置之一些實施例中,導線及源極/汲極觸點在一第一方向上具有一相同寬度,且導線在一第二方向上相較於源極/汲極觸點具有一較大長度,第二方向垂直於第一方向。在半導體裝置之一些實施例中,前側互連結構進一步包括:複數個接線觸點,接線觸點接觸導線,互連件連接至接線觸點。在半導體裝置之一些實施例中,互連件包含一導線,電力軌之一寬度大於導線之一寬度。
在本揭露的一些實施例中,一種半導體裝置的製作 方法包括:將一蝕刻終止層沈積於一第一磊晶源極/汲極區、一第二磊晶源極/汲極區及一閘極結構上,第一磊晶源極/汲極區及第二磊晶源極/汲極區設置於閘極結構的相對側處;將一層間介電層沈積於蝕刻終止層上;通過層間介電層及蝕刻終止層來蝕刻一第一開口,第一開口暴露第一磊晶源極/汲極區的一前側,第二磊晶源極/汲極區的一前側在蝕刻第一開口之後保持藉由蝕刻終止層覆蓋;及在第一開口中形成一源極/汲極觸點,源極/汲極觸點接觸第一磊晶源極/汲極區。
在方法之一些實施例中,蝕刻第一開口的步驟包含以下步驟:在層間介電層上方圖案化一遮罩,遮罩在第一磊晶源極/汲極區及第二磊晶源極/汲極區上方具有開口的一圖案;在圖案化之後,覆蓋在第二磊晶源極/汲極區上方的開口之圖案的一部分;及在覆蓋之後,使用遮罩作為一蝕刻遮罩蝕刻層間介電層及蝕刻終止層。在方法之一些實施例中,蝕刻第一開口之步驟包含以下步驟:將一遮罩圖案化於層間介電層上方,遮罩在第一磊晶源極/汲極區但非第二磊晶源極/汲極區上方具有開口之一圖案;及在圖案化之後,使用遮罩作為一蝕刻遮罩來蝕刻層間介電層及蝕刻終止層。在一些實施例中,方法進一步包括以下步驟:穿過層間介電層蝕刻一第二開口,第二開口暴露蝕刻終止層;及在第二開口中形成一導線,導線接觸蝕刻終止層。在方法之一些實施例中,蝕刻第一開口的步驟包括:運用第一蝕刻製程參數蝕刻層間介電層,及運用第二蝕刻製程參數 蝕刻蝕刻終止層,第二蝕刻製程參數不同於第一蝕刻製程參數。在方法之一些實施例中,蝕刻第二開口之步驟包括運用第一蝕刻製程參數蝕刻層間介電層,且並不包括蝕刻蝕刻終止層的步驟。
前述內容概述若干實施例之特徵,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其可易於使用本揭露作為用於設計或修改用於實施本文中引入之實施例之相同目的及/或達成相同優勢之其他程序及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不偏離本揭露之精神及範疇,且此類等效構造可在本文中進行各種改變、取代及替代而不偏離本揭露的精神及範疇。
54:鰭片
56B:第二奈米結構
68:通道區
80:閘極間隔物
92:磊晶源極/汲極區
92P:磊晶源極/汲極區
92S:磊晶源極/汲極區
102:閘極介電質
104:閘極電極
110:金屬-半導體合金區
112:下部源極/汲極觸點
122:第二層間介電層
124:閘極觸點
126:上部源極/汲極觸點
130:裝置層
140:互連結構
142:導電特徵
142A:導線
144:介電層
146:載體基板
148:接合層
150:互連結構
152:介電層
156:金屬-半導體合金區
158:電力軌觸點
160:導電特徵
160P:電力軌
162:介電層
164:導電特徵
166:介電層
172:鈍化層
174:凸塊下金屬層
176:外部連接器
T3:第三厚度

Claims (10)

  1. 一種半導體裝置,包含:一第一鰭片;該第一鰭片上方之一閘極結構;相鄰於該閘極結構的一第一源極/汲極區;該第一源極/汲極區上方的一蝕刻終止層;該蝕刻終止層上方之一導線,該導線藉由該蝕刻終止層與該第一源極/汲極區隔離,該導線之一頂表面與該閘極結構之一頂表面共面;及延伸穿過該第一鰭片的一電力軌觸點,該電力軌觸點連接至該第一源極/汲極區。
  2. 如請求項1所述之半導體裝置,進一步包含:相鄰於該閘極結構之一第二源極/汲極區,該蝕刻終止層設置於該第二源極/汲極區上方;及延伸穿過該蝕刻終止層之一源極/汲極觸點,該源極/汲極觸點連接至該第二源極/汲極區,該源極/汲極觸點的一頂表面與該導線之該頂面及該閘極結構之該頂表面共面。
  3. 如請求項2所述之半導體裝置,其中該導線具有一第一部分及一第二部分,該第一部分設置於該第一源極/汲極區上方,該第二部分相鄰於該第一源極/汲極區設置。
  4. 如請求項3所述之半導體裝置,其中該導線之該第一部分具有一第一高度,該導線之該第二部分具有一第二高度,且該源極/汲極觸點具有一第三高度,該第三高度大於該第一高度且小於該第二高度。
  5. 一種半導體裝置,包含:包含一電力軌之一背側互連結構;包含複數個互連件之一前側互連結構;及一裝置層,該裝置層是在該背側互連結構與該前側互連結構之間,該裝置層包含一電晶體,該電晶體包含:一第一磊晶源極/汲極區;一源極/汲極觸點,該源極/汲極觸點接觸該第一磊晶源極/汲極區的一前側,該些互連件連接至該源極/汲極觸點;一第二磊晶源極/汲極區;及一電力軌觸點,該電力軌觸點接觸該第二磊晶源極/汲極區之一背側,該電力軌連接至該電力軌觸點,該第二磊晶源極/汲極區之一前側藉由一介電材料完全覆蓋。
  6. 如請求項5所述之半導體裝置,其中該裝置層進一步包含:一導線,該介電材料設置於該導線與該第二磊晶源極/汲極區的該前側之間,該導線之表面與該源極/汲極觸點共面。
  7. 如請求項6所述之半導體裝置,其中該導線及該源極/汲極觸點在一第一方向上具有一相同寬度,且該導線在一第二方向上相較於該源極/汲極觸點具有一較大長度,該第二方向垂直於該第一方向。
  8. 一種半導體裝置的製作方法,包含以下步驟:將一蝕刻終止層沈積於一第一磊晶源極/汲極區、一第二磊晶源極/汲極區及一閘極結構上,該第一磊晶源極/汲極區及該第二磊晶源極/汲極區設置於該閘極結構的相對側處;將一層間介電層沈積於該蝕刻終止層上;穿過該層間介電層及該蝕刻終止層來蝕刻一第一開口,該第一開口暴露該第一磊晶源極/汲極區的一前側,該第二磊晶源極/汲極區的一前側在蝕刻該第一開口之後保持藉由該蝕刻終止層覆蓋,其中蝕刻該第一開口的步驟包含以下步驟:在該層間介電層上方圖案化一遮罩,該遮罩在該第一磊晶源極/汲極區及該第二磊晶源極/汲極區上方具有一開口的一圖案;在該圖案化之後,覆蓋在該第二磊晶源極/汲極區上方的該開口之該圖案的一部分;及在該覆蓋之後,使用該遮罩作為一蝕刻遮罩蝕刻該層間介電層及該蝕刻終止層;及 在該第一開口中形成一源極/汲極觸點,該源極/汲極觸點接觸該第一磊晶源極/汲極區。
  9. 如請求項8所述之方法,進一步包含以下步驟:穿過該層間介電層蝕刻一第二開口,該第二開口暴露該蝕刻終止層;及在該第二開口中形成一導線,該導線接觸該蝕刻終止層。
  10. 一種半導體裝置的製作方法,包含以下步驟:將一蝕刻終止層沈積於一第一磊晶源極/汲極區、一第二磊晶源極/汲極區及一閘極結構上,該第一磊晶源極/汲極區及該第二磊晶源極/汲極區設置於該閘極結構的相對側處;將一層間介電層沈積於該蝕刻終止層上;穿過該層間介電層及該蝕刻終止層來蝕刻一第一開口,該第一開口暴露該第一磊晶源極/汲極區的一前側,該第二磊晶源極/汲極區的一前側在蝕刻該第一開口之後保持藉由該蝕刻終止層覆蓋,其中蝕刻該第一開口的步驟包含以下步驟:在該層間介電層上方圖案化一遮罩,該遮罩在該第一磊晶源極/汲極區但非該第二磊晶源極/汲極區上方具有 一開口之一圖案;及在該圖案化之後,使用該遮罩作為一蝕刻遮罩來蝕刻該層間介電層及該蝕刻終止層;及在該第一開口中形成一源極/汲極觸點,該源極/汲極觸點接觸該第一磊晶源極/汲極區。
TW110101865A 2020-04-28 2021-01-18 半導體裝置及其製作方法 TWI775278B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063016505P 2020-04-28 2020-04-28
US63/016,505 2020-04-28
US17/015,628 US11410930B2 (en) 2020-04-28 2020-09-09 Semiconductor device and method
US17/015,628 2020-09-09

Publications (2)

Publication Number Publication Date
TW202209495A TW202209495A (zh) 2022-03-01
TWI775278B true TWI775278B (zh) 2022-08-21

Family

ID=76809808

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101865A TWI775278B (zh) 2020-04-28 2021-01-18 半導體裝置及其製作方法

Country Status (3)

Country Link
US (1) US11984402B2 (zh)
CN (1) CN113140565A (zh)
TW (1) TWI775278B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102587997B1 (ko) * 2021-08-20 2023-10-12 포항공과대학교 산학협력단 모놀리식 삼차원 집적회로 디바이스 및 이의 제조방법
US20230317633A1 (en) * 2022-03-30 2023-10-05 Win Semiconductors Corp. Semiconductor chip
KR20240040512A (ko) * 2022-09-21 2024-03-28 삼성전자주식회사 집적회로 소자

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180131346A (ko) * 2017-05-30 2018-12-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 콘택 구조체

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
KR20160136715A (ko) 2015-05-20 2016-11-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
CN106684041B (zh) 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102603279B1 (ko) 2016-07-01 2023-11-17 인텔 코포레이션 양쪽 사이드들 상의 금속화가 있는 반도체 디바이스들에 대한 후면 콘택트 저항 감소
US10872820B2 (en) 2016-08-26 2020-12-22 Intel Corporation Integrated circuit structures
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
US10366982B2 (en) * 2017-11-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
US11024550B2 (en) 2018-08-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11205597B2 (en) 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN110970489B (zh) 2018-09-28 2023-05-23 台湾积体电路制造股份有限公司 半导体器件和形成半导体器件的方法
KR20200133092A (ko) 2019-05-16 2020-11-26 삼성전자주식회사 반도체 소자
US11410930B2 (en) * 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180131346A (ko) * 2017-05-30 2018-12-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 콘택 구조체

Also Published As

Publication number Publication date
US20220359396A1 (en) 2022-11-10
CN113140565A (zh) 2021-07-20
TW202209495A (zh) 2022-03-01
US11984402B2 (en) 2024-05-14

Similar Documents

Publication Publication Date Title
US11664374B2 (en) Backside interconnect structures for semiconductor devices and methods of forming the same
US11450600B2 (en) Semiconductor devices including decoupling capacitors
US11810917B2 (en) Self-aligned etch in semiconductor devices
TWI775278B (zh) 半導體裝置及其製作方法
US11799002B2 (en) Semiconductor devices and methods of forming the same
US11757042B2 (en) Semiconductor device and method
KR102568602B1 (ko) 반도체 디바이스 및 방법
US20240021684A1 (en) Semiconductor devices and methods of forming the same
US20220367454A1 (en) Backside Interconnect Structures for Semiconductor Devices and Methods of Forming the Same
US20230386993A1 (en) Semiconductor Devices Including Decoupling Capacitors
US20240194559A1 (en) Thermal dissipation in semiconductor devices
TWI782473B (zh) 半導體元件及其製造方法
TW202245142A (zh) 半導體裝置以及其形成方法
US20240096805A1 (en) Semiconductor devices with backside routing and method of forming same
KR102437248B1 (ko) 반도체 디바이스 및 방법
US11532703B2 (en) Semiconductor device and method
US11355410B2 (en) Thermal dissipation in semiconductor devices
US20230013764A1 (en) Semiconductor Devices Including Backside Capacitors and Methods of Manufacture
US11862561B2 (en) Semiconductor devices with backside routing and method of forming same
US20230386971A1 (en) Semiconductor Devices Including Through Vias and Methods of Forming the Same
US20230387012A1 (en) Semiconductor Devices Including Backside Power Via and Methods of Forming the Same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent