TW202414552A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202414552A
TW202414552A TW112126364A TW112126364A TW202414552A TW 202414552 A TW202414552 A TW 202414552A TW 112126364 A TW112126364 A TW 112126364A TW 112126364 A TW112126364 A TW 112126364A TW 202414552 A TW202414552 A TW 202414552A
Authority
TW
Taiwan
Prior art keywords
region
substrate
layer
semiconductor
etch stop
Prior art date
Application number
TW112126364A
Other languages
English (en)
Inventor
王寶明
陳亮吟
徐瑋澤
蔡榮贊
曾雅晴
劉俊毅
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202414552A publication Critical patent/TW202414552A/zh

Links

Images

Abstract

一種方法包括在半導體基板中植入雜質以在半導體基板內形成蝕刻終止區;在半導體基板的前側上形成電晶體結構;在電晶體結構上方形成前側互連結構;在半導體基板的背側上執行減薄製程以減小半導體基板的厚度,其中減薄製程由蝕刻終止區減慢;及在半導體基板的背側上方形成背側互連結構。

Description

用於半導體裝置基板減薄的蝕刻終止區
半導體裝置用於多種電子應用,諸如舉例而言,個人電腦、手機、數位相機及其他電子設備。半導體裝置通常係藉由在半導體基板上方順序沉積絕緣或介電層、導電層及半導體材料層,並使用微影術來圖案化各種材料層以在其上形成電路組件及元件來製造的。
半導體行業藉由不斷減小最小特徵尺寸來不斷提高各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度,從而允許更多組件整合至給定面積中。然而,隨著最小特徵尺寸的減小,出現了需要解決的額外問題。
以下揭示內容提供用於實施本揭示的不同特徵的許多不同實施例、或實例。下文描述組件及配置的特定實例以簡化本揭示。當然,這些僅為實例且非意欲為限制性的。舉例而言,在以下描述中第一特徵於第二特徵上方或上的形成可包括第一特徵與第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間使得第一特徵與第二特徵可不直接接觸的實施例。此外,本揭示在各種實例中可重複參考數字及/或字母。此重複係出於簡單及清楚之目的,且本身且不指明所論述之各種實施例及/或組態之間的關係。
此外,為了便於描述,在本文中可使用空間相對術語,諸如「在……下方」、「在……之下」、「下部」、「在……之上」、「上部」及類似者,來描述諸圖中圖示之一個元件或特徵與另一(多個)元件或特徵之關係。空間相對術語意欲涵蓋除了諸圖中所描繪的定向以外的裝置在使用或操作時的不同定向。器件可另外定向(旋轉90度或處於其他定向),且本文中所使用之空間相對描述符可類似地加以相應解釋。
各種實施例提供半導體裝置及其形成方法。半導體裝置可包括在裝置層(諸如包括電晶體結構的裝置層)的相對側上的前側互連結構(亦稱為後工序(back end of line,BEOL)互連結構)及背側互連結構(亦稱為埋入式電力網路(buried power network,BPN))。提供背側互連結構可減少前側互連結構所需的層的數目,且背側互聯結構可具有比前側互連結構更寬的接線,前側互連結構及背側互聯結構兩者均提供改良速度性能及能量效率。在各種實施例中,可在基板中形成蝕刻終止區,蝕刻終止區在形成背側互連結構之前執行的減薄製程(例如,化學機械研磨(chemical mechanical polish,CMP)製程或類似者)期間停止或減慢背側基板材料之移除。可藉由在基板中植入雜質區來形成蝕刻終止區,且可隨後進行退火以減少植入缺陷。以這一方式停止或減慢減薄製程可減少凹陷或圖案加載效應,並可改善經減薄表面的平面性。以這一方式,如本文所述形成蝕刻終止區可改善基板減薄期間的平面性,此舉可改善隨後執行之微影術步驟的品質、改善裝置均勻性、並提高裝置產率。
以下在特定上下文中描述實施例,即,包含奈米結構場效電晶體(奈米FET)的晶粒。然而,各種實施例可應用於包括其他類型之電晶體(例如,鰭式場效電晶體(fin field effect transistor,FinFET)、平面電晶體或類似者)的晶粒,以代替奈米FET或與奈米FET組合。
第1圖根據一些實施例以三維視圖圖示奈米FET(例如,奈米線FET、奈米片FET(奈米FET)或類似者)的實例。奈米FET包含基板50(例如,半導體基板)上的鰭片66上方的奈米結構55(例如,奈米片、奈米線或類似者)。奈米結構55充當奈米FET的通道區。奈米結構55可包括p型奈米結構、n型奈米結構或其組合。隔離區68設置於相鄰鰭片66之間,鰭片66可在相鄰隔離區68之上及之間突出。儘管隔離區68描述及圖示為與基板50分離,但如本文所使用的,術語「基板」可係指單獨的半導體基板或半導體基板與隔離區之組合。此外,儘管鰭片66的底部部分圖示為單一的、與基板50連續的材料,但鰭片66及/或基板50的底部部分可包含單一材料或多個材料。在這一情況下,鰭片66係指在相鄰隔離區68之間延伸的部分。
閘極介電層100在鰭片66的頂表面及側壁上方,並沿著奈米結構55的頂表面、側壁及底表面。閘電極102在閘極介電層100上方。磊晶源極/汲極區92設置於鰭片66上閘極介電層100及閘電極102的相對側上。源極/汲極區可單獨或共同地取決於上下文而係指源極或汲極。
第1圖進一步圖示後續諸圖中使用的參考橫截面。橫截面A-A'沿著閘電極102的縱軸,並在例如垂直於奈米FET的磊晶源極/汲極區92之間的電流流動方向的方向上。橫截面B-B'平行於橫截面A-A',並延伸穿過多個奈米FET的磊晶源極/汲極區92。橫截面C-C'垂直於橫截面A-A'且平行於奈米FET的鰭片66的縱軸,並在例如奈米FET的磊晶源極/汲極區92之間的電流流動的方向上。為清楚起見,後續諸圖參考這些參考橫截面。
本文討論的一些實施例係在使用後閘極製程形成的奈米FET的上下文中討論的。在一些實施例中,可使用先閘極製程。此外,一些實施例設想可用於諸如平面FET的平面裝置或鰭式場效電晶體(fin field-effect transistor,FinFET)中的態樣。
第2圖至第30C圖係根據一些實施例的製造奈米FET的中間階段之橫截面圖。第2圖至第9圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖、第20A圖、第21A圖、第22A圖、第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖及第30A圖沿著第1圖中所示的參考橫截面A-A'來圖示。第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第15B圖、第16B圖、第16D圖、第17B圖、第18B圖、第19B圖、第20B圖、第21B圖、第22B圖、第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29B圖及第30B圖沿著第1圖中所示的參考橫截面B-B'來圖示。第10C圖、第11C圖、第12C圖、第13C圖、第14C圖、第15C圖、第15D圖、第16C圖、第16E圖、第17C圖、第18C圖、第19C圖、第20C圖、第21C圖、第22C圖、第23C圖、第24C圖、第25C圖、第26C圖、第27C圖、第28C圖、第29C圖及第30C圖沿著第1圖中所示的參考橫截面C-C'來圖示。
在第2圖中提供基板50。基板50可係半導體基板,諸如體半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基板或類似者,其可經摻雜(例如,用p型或n型摻雜劑)或無摻雜。基板50可係晶圓,諸如矽晶圓。一般而言,SOI基板係在絕緣體層上形成的半導體材料層。絕緣體層可係例如埋入式氧化物(buried oxide,BOX)層、氧化矽層或類似者。絕緣體層設置於基板上,基板通常係矽基板或玻璃基板。亦可使用其他基板,諸如多層基板或梯度基板。在一些實施例中,基板50的半導體材料可包括矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或磷砷化鎵銦;或其組合物。在一些情況下,襯墊氧化物(未顯示)可存在於基板50的頂表面上。
基板50具有n型區50N及p型區50P。n型區50N可用於形成n型裝置,諸如NMOS電晶體,例如,n型奈米FET。p型區50P可用於形成p型裝置,諸如PMOS電晶體,例如,p型奈米FET。n型區50N可與p型區50P實體分離(如圖所示藉由分隔器20),且任意數目的裝置特徵(例如,其他主動裝置、摻雜區、隔離結構或類似者)可設置於n型區50N與p型區50P之間。儘管圖示一個n型區50N及一個p型區50P,但可提供任意數目的n型區50N及p型區50P。
在第3圖及第4圖中,根據一些實施例,在基板50的n型區50N及p型區50P中形成適當的井(未顯示)。在一些實施例中,可在n型區50N中形成P井,且可在P型區50P中形成N井。在一些實施例中,在N型區50N及P型區50P兩者中形成P井或N井。在具有不同井類型的實施例中,可使用光阻劑及/或其他遮罩來達成n型區50N及p型區50P的不同植入步驟。在植入n型區50N及p型區50P之後,可執行退火以修復植入損傷並活化植入之p型及/或n型雜質。
作為實例,第3圖圖示根據一些實施例的p型區50P之植入。光阻劑30可形成於基板50上方並經圖案化以曝光基板50的p型區50P。光阻劑30可藉由使用旋裝技術來形成,並可使用可接受的光學微影術來圖案化。一旦光阻劑30經圖案化,則在p型區50P中執行n型雜質植入,且光阻劑30可充當遮罩以實質上防止n型雜質植入n型區50N中。n型雜質可係磷、砷、銻、類似物、或其組合物,以等於或小於約10 18cm −3,諸如約10 16cm −3至約10 18cm −3範圍內的濃度植入該區中。在植入之後,諸如藉由可接受的灰化製程來移除光阻劑30。
第4圖圖示根據一些實施例的n型區50N之植入。在植入p型區50P之後,在基板50上方形成光阻劑32,並進行圖案化以曝光基板50的n型區50N。光阻劑32可藉由使用旋裝技術形成,並可使用可接受的光學微影術來圖案化。一旦光阻劑32經圖案化,則可在n型區50N中執行p型雜質植入,且光阻劑32可用作遮罩以實質上防止p型雜質植入p型區50P中。p型雜質可係硼、氟化硼、銦或類似物,以等於或小於約10 18cm −3,諸如在約10 16cm −3至約10 18cm −3範圍內的濃度植入該區中。在植入之後,可諸如藉由可接受的灰化製程來移除光阻劑32。
在第5圖中,根據一些實施例執行植入製程以在基板50中形成蝕刻終止區40。蝕刻終止區40可經形成以改善隨後在基板50上執行的減薄製程的平面性,如以下針對第27A圖至第27C圖更詳細描述的。植入製程可將諸如硼、鋁、鎵、銦、鈦、類似物或其組合物的雜質植入基板50中。其他雜質亦係可能的。在一些實施例中,蝕刻終止區40可具有在約10 1 8cm −3至約10 20cm −3範圍內的雜質濃度,儘管其他濃度亦係可能的。舉例而言,在一些實施例中,蝕刻終止區40可藉由將硼植入基板50中以大於約5×10 18cm −3的濃度形成,儘管其他雜質及/或雜質濃度亦係可能的。
在一些實施例中,植入能量可在約20 keV至約40 keV的範圍內,儘管其他能量亦係可能的。在一些實施例中,劑量可在約5×10 14cm −2至約2×10 15cm −2的範圍內,儘管其他劑量亦係可能的。在一些實施例中,植入製程可以一角度植入雜質,從而減少對基板50的深度滲透。舉例而言,在一些實施例中,植入製程可包含約7°的傾斜角及約22°的扭曲角,儘管其他角度亦係可能的。在一些實施例中,植入製程可包含約50 ℃至約500 ℃範圍內的製程溫度,儘管其他溫度亦係可能的。在一些情況下,更高的製程溫度可減少植入損傷,減少隨後形成之特徵中缺陷的產生,及/或在減薄基板50之後進一步改善平面性。
在一些實施例中,可藉由使用多個植入製程植入雜質來形成蝕刻終止區40。多個植入製程可包含不同的劑量、能量、溫度等。舉例而言,在一些實施例中,可藉由執行具有約15 keV至約25 keV範圍內能量的第一植入製程,接著執行具有約35 keV至約40 keV範圍內能量的第二植入製程來形成蝕刻終止區40。這係一實例,其他植入參數或不同植入參數之組合亦係可能的。在一些情況下,使用多個植入製程可形成一蝕刻終止區40,該蝕刻終止區40更平滑地降低基板50減薄製程的移除速率,這將在以下更詳細地描述。
在一些實施例中,可在植入製程之後執行退火製程。在一些情況下,退火製程可修復植入損傷。退火製程可包含約700 ℃至約1200 ℃範圍內的退火溫度或約1秒至約2秒範圍內的退火時間,儘管其他退火參數亦係可能的。在一些實施例中,用於蝕刻終止區40的退火製程與用於P井及/或N井的退火(諸如先前描述的那些)組合。
在一些實施例中,蝕刻終止區40可具有在約100 nm至約300 nm範圍內的高度D1(例如,垂直跨度),儘管其他高度亦係可能的。在一些情況下,蝕刻終止區40的高度D1可界定為基板50中植入之雜質濃度大於約5×10 18cm −3的區的高度。高度D1的其他界定(例如,其他濃度)係可能的。在一些實施例中,蝕刻終止區40可係距基板50的頂表面的距離D2,距離D2在約40 nm至約60 nm的範圍內。在一些實施例中,蝕刻終止區40與多層堆疊64(見第6圖)的距離D2在約40 nm至約60 nm的範圍內。其他距離D2係可能的。
在其他實施例中,蝕刻終止區40可包含類氧化物材料及/或類氮化物材料。在此類實施例中,可藉由將氧離子及/或氮化物離子植入基板50中來形成蝕刻終止區40。以這一方式,蝕刻終止區40可包含氧化矽、氮化矽、氧氮化矽或類似物。其他材料係可能的。
在第6圖中,根據一些實施例,在基板50上方形成多層堆疊64。多層堆疊64包括第一半導體層51A~C(統稱為第一半導體層51)與第二半導體層53A~C(統稱為第二半導體層53)的交替層。出於說明目的且如以下更詳細地討論的,將移除第二半導體層53,且第一半導體層51將經圖案化以在n型區50N及p型區50P中形成奈米FET的通道區。在此類實施例中,n型區50N及p型區50P中的通道區可具有相同的材料組成(例如,矽或另一半導體材料)並可同時形成。
在一些實施例中,可移除第二半導體層53並可圖案化第一半導體層51以在n型區50N中形成奈米FET的通道區,且可移除第一半導體層51並可圖案化第二半導體層53以在p型區50P中形成奈米FET的通道區。在一些實施例中,可移除第一半導體層51並可圖案化第二半導體層53以在n型區50N中形成奈米FET的通道區,且可移除第二半導體層53並可圖案化第一半導體層51以在p型區50P中形成奈米FET的通道區。在一些實施例中,可移除第一半導體層51並可圖案化第二半導體層53以在n型區50N及p型區50P兩者中形成奈米FET的通道區。
出於說明目的,多層堆疊64圖示為包括三個層的第一半導體層51及三個層的第二半導體層53。在一些實施例中,多層堆疊64可包括任意數目的第一半導體層51及第二半導體層53。多層堆疊64的層中之各者可使用諸如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、氣相磊晶(vapor phase epitaxy,VPE)、分子束磊晶(molecular beam epitaxy,MBE)或類似者的製程來磊晶生長。在一些實施例中,第一半導體層51可由適合於p型奈米FET的第一半導體材料,諸如矽鍺或類似物形成。第二半導體層53可由適合於n型奈米FET的第二半導體材料,諸如矽、碳化矽或類似物形成。出於說明目的,多層堆疊64圖示為具有由第一半導體材料形成的最底第一半導體層51。在一些實施例中,多層堆疊64可形成為具有由第二半導體材料形成的最底第二半導體層53。
第一半導體材料及第二半導體材料可係彼此具有高蝕刻選擇性的材料。由此,可移除第一半導體材料之第一半導體層51而不顯著移除第二半導體材料之第二半導體層53。這允許圖案化第二半導體層53以形成奈米FET的通道區。類似地,在移除第二半導體層53並圖案化第一半導體層51以形成通道區的實施例中,可移除第二半導體材料之第二半導體層53,而不顯著移除第一半導體材料之第一半導體層51。這允許圖案化第一半導體層51以形成奈米FET的通道區。
在第7圖中,鰭片66形成於基板50中,奈米結構55形成於多層堆疊64中。在一些實施例中,奈米結構55及鰭片66可藉由在多層堆疊64及基板50中蝕刻溝槽分別形成於多層堆疊64中及基板50中。鰭片66可自基板50的頂表面突出。在一些實施例中,蝕刻可曝光蝕刻終止區40的表面。在此類實施例中,蝕刻終止區40的經曝光表面可形成基板50的頂表面及/或鰭片66的側壁表面。以這一方式,鰭片66可包含蝕刻終止區40的部分。在其他實施例中,鰭片66不包括蝕刻終止區40的部分。在此類實施例中,蝕刻終止區40的經曝光表面可形成基板50的頂表面,或蝕刻終止區40可保持由基板50覆蓋。
蝕刻可係任何可接受的蝕刻製程,諸如反應離子蝕刻(reactive ion etching,RIE)、中性束蝕刻(neutral beam etching,NBE)、類似者或其組合。蝕刻可係各向異性的。藉由蝕刻多層堆疊64形成奈米結構55可進一步自第一半導體層51界定第一奈米結構52A~C(統稱為第一奈米結構52),並自第二半導體層53界定第二奈米結構54A~C(統稱為第二奈米結構54)。第一奈米結構52與第二奈米結構54可統稱為奈米結構55。
可藉由任何適合的方法來圖案化鰭片66及奈米結構55。舉例而言,可使用一或多個光學微影術製程(包括雙重圖案化或多重圖案化製程)來圖案化鰭片66及奈米結構55。一般而言,雙重圖案化或多重圖案化製程將光學微影術與自對準製程相組合,從而允許產生具有例如比使用單一直接光學微影術製程可獲得的節距更小節距的圖案。舉例而言,在一個實施例中,在基板上方形成犧牲層並使用光學微影術製程來圖案化。使用自對準製程沿著經圖案化犧牲層形成間隔物。接著移除犧牲層,且接著可使用剩餘的間隔物來圖案化鰭片66及奈米結構55。
出於說明目的,第7圖將n型區50N與p型區50P中的鰭片66及奈米結構55圖示為具有實質上相等的寬度。在一些實施例中,n型區50N中的鰭片66及奈米結構55的寬度可大於或小於p型區50P中的鰭片66及奈米材料55的寬度。此外,儘管鰭片66及奈米結構55中之各者圖示為具有一致的寬度,但在一些實施例中,鰭片66及/或奈米結構55可具有不同的側壁,諸如錐形側壁。由此,鰭片66及/或奈米結構55中之各者的寬度可在朝向基板50的方向上連續增加。在此類實施例中,垂直堆疊中的奈米結構55中之各者可具有不同的寬度,且在形狀上可係梯形。
在第8圖中,淺溝槽隔離(shallow trench isolation,STI)區68相鄰於鰭片66地形成。STI區68可藉由在基板50、鰭片66及奈米結構55上方以及鰭片66及奈米結構55中之相鄰者之間沉積絕緣材料來形成。絕緣材料可係氧化物(諸如氧化矽)、氮化物、類似物或其組合,並可藉由高密度電漿CVD (high-density plasma CVD,HDP-CVD)、可流動CVD (flowable CVD,FCVD)、類似者或其組合來形成。可使用藉由任何可接受製程形成的其他絕緣材料。在所示實施例中,絕緣材料係藉由FCVD製程形成的氧化矽。一旦形成絕緣材料,則可執行退火製程。在一些實施例中,形成絕緣材料,使得多餘的絕緣材料覆蓋奈米結構55。儘管絕緣材料圖示為單層,但一些實施例可利用多層。舉例而言,在一些實施例中,襯裡(未分開圖示)可沿著基板50、鰭片66及奈米結構55的表面形成。此後,可在襯裡上方形成填充材料,諸如以上討論的填充材料。
接著對絕緣材料施加移除製程,以移除奈米結構55上方的多餘絕緣材料。在一些實施例中,可使用諸如化學機械研磨(chemical mechanical polish,CMP)、回蝕製程、其組合或類似者的平坦化製程。平坦化製程曝光奈米結構55,使得奈米結構55與絕緣材料的頂表面在平坦化製程完成之後係齊平的。
接著使絕緣材料凹陷以形成STI區68。絕緣材料凹陷,使得n型區50N及p型區50P中的奈米結構55及鰭片66自STI區68中之相鄰者之間突出。STI區68的頂表面可具有如圖所示的平坦表面、凸表面、凹表面(諸如碟形)或其組合。STI區68的頂表面可藉由適當的蝕刻形成為平坦的、凸的及/或凹的。STI區68可使用可接受的蝕刻製程來凹陷,諸如對絕緣材料的材料有選擇性的蝕刻製程(例如,以比蝕刻奈米結構55的材料更快的速率蝕刻絕緣材料的材料)。如第8圖中所示,STI區68的頂表面可在鰭片66的頂表面之上。然而,在一些實施例中,STI區68的頂表面可設置為與鰭片66的頂表面齊平或低於鰭片66的頂表面。在一些實施例中,可使用用稀氫氟酸(dHF)的氧化物移除來回蝕絕緣材料。
上文關於第6圖至第8圖所述的製程係鰭片66及奈米結構55如何形成的僅一個實例。在一些實施例中,可使用遮罩及磊晶生長製程來形成鰭片66及/或奈米結構55。舉例而言,可在基板50的頂表面上方形成介電層,並可穿過介電層蝕刻溝槽以曝光下伏基板50。磊晶結構可在溝槽中磊晶生長,且介電層可凹陷,使得磊晶結構自介電層突出以形成鰭片66及/或奈米結構55。磊晶結構可包含上述半導體材料,諸如第一半導體材料與第二半導體材料的交替層。在磊晶生長磊晶結構的一些實施例中,磊晶生長的材料可在生長期間經原位摻雜,這可避免先前及/或後續植入。在一些實施例中,原位摻雜與植入摻雜可一起使用。
此外,僅出於說明目的,第一半導體層51(及所得第一奈米結構52)及第二半導體層53(及所得第二奈米結構54)在本文中圖示及討論為在p型區50P與n型區50N中包含相同的材料。因此,在一些實施例中,第一半導體層51及第二半導體層53中之一者或兩者可係不同的材料,或以不同的次序形成於p型區50P與n型區50N中。
此外,在第8圖中,可在鰭片66、奈米結構55及/或STI區68中形成適當的井(未分開圖示)。在具有不同井類型的實施例中,可使用光阻劑或其他遮罩(未分開圖示)來達成n型區50N與p型區50P的不同植入步驟。舉例而言,可在n型區50N及p型區50P中鰭片66及STI區68上方形成光阻劑。圖案化光阻劑以曝光p型區50P。光阻劑可藉由使用旋裝技術形成,並可使用可接受的光學微影術來圖案化。一旦光阻劑經圖案化,則在p型區50P中執行n型雜質植入,且光阻劑可充當遮罩以防止n型雜質植入n型區50N中。n型雜質可係以約10 13原子/cm 3至約10 14原子/cm 3範圍內濃度植入該區中的磷、砷、銻或類似物。在植入之後,諸如藉由可接受的灰化製程來移除光阻劑。
在p型區50P之植入之後或之前,在p型區50P及n型區50N中的鰭片66、奈米結構55及STI區68上方形成光阻劑或其他遮罩(未分開圖示)。圖案化光阻劑以曝光n型區50N。光阻劑可藉由使用旋裝技術來形成,並可使用可接受的光學微影術來圖案化。一旦光阻劑經圖案化,則可在n型區50N中執行p型雜質植入,且光阻劑可充當遮罩以防止p型雜質植入p型區50P中。p型雜質可係以約10 13原子/cm 3至約10 14原子/cm 3範圍內濃度植入該區中的硼、氟化硼、銦或類似物。在植入之後,可諸如藉由可接受的灰化製程來移除光阻劑。
在n型區50N及p型區50P之植入之後,可執行退火以修復植入損傷並活化植入之p型及/或n型雜質。退火可與先前描述的退火製程中之任意者組合或自其分離開。在一些實施例中,磊晶鰭片之生長材料可在生長期間經原位摻雜,這可避免植入。在一些實施例中,原位摻雜與植入摻雜可一起使用。
在第9圖中,在鰭片66及/或奈米結構55上方形成虛設介電層70。虛設介電層70可係例如氧化矽、氮化矽、其組合或類似物。可根據可接受的技術來沉積或熱生長虛設介電層70。
在虛設介電層70上方形成虛設閘極層72,並在虛設閘極層72上方形成遮罩層74。虛設閘極層72可沉積於虛設介電層70上方,接著諸如藉由CMP來平坦化。虛設閘極層72可係導電或非導電材料,並可選自包括非晶矽、多晶矽(聚矽)、多晶矽鍺(聚SiGe)、金屬氮化物、金屬矽化物、金屬氧化物及金屬的群組。虛設閘極層72可藉由物理氣相沉積(physical vapor deposition,PVD)、CVD、濺射沉積或用於沉積被選材料的其他技術來沉積。虛設閘極層72可由對STI區68的蝕刻具有高蝕刻選擇性的其他材料製成。
遮罩層74可沉積於虛設閘極層72上方。遮罩層74可包括例如氮化矽、氧氮化矽或類似物。在所示實施例中,在n型區50N及p型區50P上形成單個虛設閘極層72及單個遮罩層74。應注意,僅出於說明目的,虛設介電層70圖示為僅覆蓋鰭片66及奈米結構55。在一些實施例中,可沉積虛設介電層70,使得虛設介電層70覆蓋STI區68。由此,虛設介電層70可在虛設閘極層72與STI區68之間延伸。
第10A圖至第30C圖圖示實施例裝置的製造中的各種額外步驟。第10A圖至第30C圖圖示n型區50N或p型區50P中的特徵。在第10A圖至第10C圖中,可使用可接受的光學微影術及蝕刻技術來圖案化遮罩層74(見第9圖),以形成遮罩78。遮罩78的圖案可轉移至虛設閘極層72及虛設介電層70,以分別形成虛設閘極76及虛設閘極介電質71。虛設閘極76覆蓋奈米結構55的個別通道區。遮罩78的圖案可用於將虛設閘極76中之各者與相鄰虛設閘極76實體分離開。虛設閘極76可具有垂直於個別鰭片66及奈米結構55之縱向方向的縱向方向。
在第11A圖至第11C圖中,分別在第10A圖至第10C圖中所示的結構上方形成第一間隔層80及第二間隔層82。第一間隔層80及第二間隔層82隨後經圖案化,以充當用於形成自對準源極/汲極區的間隔物。在第11A圖至第11C圖中,第一間隔層80形成於STI區68的頂表面;奈米結構55及遮罩78的頂表面及側壁;以及虛設閘極76、虛設閘極介電質71及鰭片66的側壁上。第二間隔層82沉積於第一間隔層80上方。第一間隔層80可由氧化矽、氮化矽、氧氮化矽或類似物形成,使用諸如熱氧化的技術或藉由CVD、ALD或類似者來沉積。第二間隔層82可由具有與第一間隔層80的材料不同蝕刻速率的材料形成,諸如氧化矽、氮化矽、氧氮化矽或類似物,且可藉由CVD、ALD或類似者來沉積。
在形成第一間隔層80之後且在形成第二間隔層82之前,可執行用於輕摻雜源極/汲極(lightly doped source/drain,LDD)區(未分開圖示)的植入。在具有不同裝置類型的實施例中,類似於上文第8圖中所討論的植入物,可在n型區50N上方形成諸如光阻劑的遮罩,同時曝光p型區50P,並可將適當類型(例如,p型)的雜質植入p型區50P中的經曝光鰭片66及奈米結構55中。接著可移除遮罩。隨後,可在p型區50P上方形成諸如光阻劑的遮罩,同時曝光n型區50N,並可將適當類型(例如,n型)的雜質植入n型區50N中的經曝光鰭片66及奈米結構55中。接著可移除遮罩。n型雜質可係先前討論的n型雜質中之任意者,且p型雜質可係先前討論的p型雜質中之任意者。輕摻雜源極/汲極區可具有約1x10 15原子/cm 3至約1x10 19原子/cm 3範圍內的雜質濃度。退火可用於修復植入損傷並活化植入之雜質。
在第12A圖至第12C圖中,蝕刻第一間隔物層80及第二間隔物層82以分別形成第一間隔物81及第二隔離物83。如以下將更詳細地討論的,第一間隔物81及第二間隔物83用於自對準隨後形成之源極/汲極區,以及在後續處理期間保護鰭片66及/或奈米結構55的側壁。第一間隔層80及第二間隔層82可使用適合的蝕刻製程來蝕刻,諸如各向同性蝕刻製程(例如,濕式蝕刻製程)、各向異性蝕刻製程(例如,乾式蝕刻製程)或類似者。在一些實施例中,第二間隔層82的材料具有與第一間隔層80的材料不同的蝕刻速率,使得當圖案化第二間隔層82時,第一間隔層80可充當蝕刻終止層,而當圖案案化第一間隔層80時,第二間隔層82可充當遮罩。舉例而言,可使用各向異性蝕刻製程來蝕刻第二間隔層82,其中第一間隔層80用作蝕刻終止層。第二間隔層82的剩餘部分形成第二間隔物83,如第12B圖中所示。此後,在蝕刻第一間隔層80的經曝光部分的同時,第二間隔物83充當遮罩,從而形成第一間隔物81,如第12B圖及第12C圖中所示。
如第12B圖中所示,第一間隔物81及第二間隔物83設置於奈米結構55及鰭片66的側壁上。如第12C圖中所示,在一些實施例中,可自相鄰於遮罩78、虛設閘極76及虛設閘極介電質71的第一間隔層80上方移除第二間隔層82,且第一間隔物81設置於遮罩78、虛設閘76及虛置閘極介電質71的側壁上。在一些實施例中,第二間隔層82的一部分可保留在第一間隔層80上方,相鄰於遮罩78、虛設閘極76及虛設閘極介電質71。
應注意,以上揭示內容一般地描述形成間隔物及LDD區的製程。可使用其他製程及順序。舉例而言,可利用更少或額外的間隔物、可利用不同的步驟順序(例如,可在沉積第二間隔層82之前圖案化第一間隔物81)、可形成及移除額外間隔物及/或類似者。此外,可使用不同的結構及步驟來形成n型及p型裝置。
在第13A圖至第13C圖中,根據一些實施例,在奈米結構55、鰭片66及基板50中形成凹槽86。可用作源極/汲極區及/或虛設區的磊晶材料隨後將形成於凹槽86中。凹槽86可延伸穿過第一奈米結構52A~52C及第二奈米結構54A~54C,並進入鰭片66及基板50中。在一些實施例中,STI區68的頂表面可與凹槽86的底表面齊平。在一些實施例中,STI區68的頂表面可在凹槽86的底表面之上或之下。
可藉由使用各向異性蝕刻製程(諸如RIE、NBE或類似者)蝕刻奈米結構55、鰭片66及基板50來形成凹槽86。在用於形成凹槽86的蝕刻製程期間,第一間隔物81、第二間隔物83及遮罩78遮蔽奈米結構55、鰭片66及基板50的部分。可使用單個蝕刻製程或多個蝕刻製程來蝕刻奈米結構55、鰭片66及基板50的各個層。在凹槽86達到所需深度之後,可使用定時蝕刻製程來停止蝕刻。
在第14A圖至第14C圖中,由第一半導體材料形成的多層堆疊64的層(例如,第一奈米結構52)的側壁由凹槽86曝光的部分經蝕刻,以在n型區50N及p型區50P中形成側壁凹槽88。儘管相鄰於側壁凹槽88的第一奈米結構52的側壁在第14C圖中圖示為直的,但側壁可係凹的或凸的。可使用各向同性蝕刻製程(諸如濕式蝕刻或類似者)來蝕刻側壁。在第二奈米結構54包括例如Si或SiC且第一奈米結構52包括例如SiGe的實施例中,可使用用氟化氫、另一基於氟的蝕刻劑或類似物的濕式或乾式蝕刻製程來蝕刻n型區50N及p型區50P中第一奈米結構52的側壁。
在第15A圖至第15D圖中,第一內部間隔物90形成於側壁凹槽88中。第一內部間隔物90可藉由在第14A圖至第14C圖中所示的結構上方沉積內部間隔層(未分開圖示)來形成。第一內部間隔物90充當後續形成之源極/汲極區與閘極結構之間的隔離特徵。如將在以下更詳細地討論的,源極/汲極區將形成於凹槽86中,而第一奈米結構52將由對應閘極結構代替。
可藉由共形沉積製程(諸如CVD、ALD或類似者)來沉積內部間隔層。內部間隔層可包含諸如氮化矽或氧氮化矽的材料,儘管可使用任何適合的材料,諸如具有小於約3.5的k值的低介電常數(低k)材料。接著可各向異性地蝕刻內部間隔層以形成第一內部間隔物90。儘管第一內部間隔物90的外側壁圖示為與第二奈米結構54的側壁齊平,但第一內部間隔物90的外側壁可延伸超出第二奈米結構54的側壁或自第二奈米結構54的側壁凹陷。
此外,儘管第一內部間隔物90的外側壁在第15C圖中圖示為直的,但第一內部間隔物90的外側壁可係凹的或凸的。作為實例,第15D圖圖示一實施例,其中第一奈米結構52的側壁係凹的、第一內部間隔物90的外側壁係凹的、且第一內部間隔物90自第二奈米結構54的側壁凹陷。可藉由諸如RIE、NBE或類似者的各向異性蝕刻製程來蝕刻內部間隔層。第一內部間隔物90可用於防止對藉由後續蝕刻製程(諸如用於形成閘極結構的蝕刻製程)的後續形成之源極/汲極區(諸如以下參考第16A圖至第16E圖討論的磊晶源極/源極區92)造成損壞。
在第16A圖至第16E圖中,根據一些實施例,在凹槽86中形成磊晶源極/汲極區92。在一些實施例中,磊晶源極/汲極區92可在第二奈米結構54上施加應力,這可提高性能。如第16C圖中所示,磊晶源極/汲極區92形成於凹槽86中,使得各個虛設閘極76設置於磊晶源極/汲極區的個別相鄰對之間。在一些實施例中,第一間隔物81用於將磊晶源極/汲極區92與虛設閘極76分離開,且第一內部間隔物90用於將磊晶汲極/源極區92與第一奈米結構52分開適當的側向距離,使得磊晶源極/汲極區92不會與所得奈米FET的後續形成之閘極短路。
n型區50N(例如,NMOS區)中的磊晶源極/汲極區92可藉由遮蔽p型區50P(例如,PMOS區)來形成。接著,磊晶源極/汲極區92在n型區50N中的凹槽86中磊晶生長。磊晶源極/汲極區92可包括適合於n型奈米FET的任何可接受的材料。舉例而言,若第二奈米結構54係矽,則磊晶源極/汲極區92可包括在第二奈米材料54上施加張應力的材料,諸如矽、碳化矽、磷摻雜碳化矽、磷化矽或類似物。磊晶源極/汲極區92可具有自奈米結構55的個別上表面凸起的表面,並可具有小平面。
p型區50P(例如,PMOS區)中的磊晶源極/汲極區92可藉由遮蔽n型區50N(例如,NMOS區)來形成。接著,磊晶源極/汲極區92在p型區50P中的凹槽86中磊晶生長。磊晶源極/汲極區92可包括適合於p型奈米FET的任何可接受的材料。舉例而言,若第二奈米結構54係矽,則磊晶源極/汲極區92可包括在第二奈米材料54上施加壓縮應力的材料,諸如矽鍺、硼摻雜矽鍺、鍺、鍺錫或類似物。磊晶源極/汲極區92亦可具有自奈米結構55的個別表面凸起的表面,並可具有小平面。
磊晶源極/汲極區92、第一奈米結構52、第二奈米結構54、鰭片66及/或基板50可植入有摻雜劑以形成源極/源極區,類似於先前討論的用於形成輕摻雜源極/汲極區的製程,隨後進行退火。源極/汲極區可具有約1x10 19原子/cm 3與約1x10 21原子/cm 3之間的雜質濃度。源極/汲極區的n型及/或p型雜質可係先前討論的雜質中之任意者。在一些實施例中,磊晶源極/汲極區92可在生長期間經原位摻雜。
作為用於在n型區50N及p型區50P中形成磊晶源極/汲極區92的磊晶製程的結果,磊晶源極區/汲極區92的上表面具有側向向外擴展超出奈米結構55的側壁的小平面。在一些實施例中,這些小平面導致同一奈米FET的相鄰磊晶源極/汲極區92合併,如第16D圖中所示。在一些實施例中,相鄰磊晶源極/汲極區92在磊晶製程完成後保持分離,如第16B圖中所示。在第16B圖及第16D圖中所示的實施例中,第一間隔物81可形成為延伸至STI區68的頂表面,從而阻擋磊晶生長。在一些實施例中,用於形成第一間隔物81的間隔物蝕刻可經調整以移除間隔材料並允許磊晶生長區延伸至STI區68的表面。
磊晶源極/汲極區92可包含一或多個半導體材料層。舉例而言,磊晶源極/汲極區92可包含第一半導體材料層92A、第二半導體材料層92B及第三半導體材料層92C。任意數目的半導體材料層可用於磊晶源極/汲極區92。第一半導體材料層92A、第二半導體材料層92B及第三半導體材料層92C中之各者可由不同的半導體材料形成,並可摻雜至不同的摻雜濃度。在一些實施例中,第一半導體材料層92A可具有小於第二半導體材料層92B且大於第三半導體材料層92C的摻雜濃度。在磊晶源極/汲極區92包含三個半導體材料層的實施例中,第一半導體材料層92A可經沉積,第二半導體材料層92B可沉積於第一半導體材料92A上方,且第三半導體材料層92C可沉積於第二半導體材料層92B上方。在其他實施例中,在形成磊晶源極/汲極區92之前,可在一或多個凹槽86中形成犧牲磊晶材料(未顯示)。
第16E圖圖示一實施例,其中第一奈米結構52的側壁係凹的、第一內部間隔物90的外側壁係凹的、且第一內部間隔物90自第二奈米結構54的側壁凹陷。如第16E圖中所示,磊晶源極/汲極區92可與第一內部間隔物90接觸地形成,並可延伸過第二奈米結構54的側壁。
在第17A圖至第17C圖中,分別在第16A圖至第16C圖中所示的結構上方沉積第一層間介電質(interlayer dielectric,ILD) 96。第一ILD 96可由介電材料形成,並可藉由任何適合的方法來沉積,諸如CVD、電漿增強CVD (plasma-enhanced CVD,PECVD)或FCVD。介電材料可包括磷矽玻璃(PSG)、硼矽玻璃(BSG)、硼磷矽玻璃(BPSG)、無摻雜矽玻璃(USG)或類似物。可使用藉由任何可接受製程形成的其他絕緣材料。在一些實施例中,接觸蝕刻終止層(contact etch stop layer,CESL) 94設置於第一ILD 96與磊晶源極/汲極區92、遮罩78、第一間隔物81、第二間隔物83及STI區68之間。CESL 94可包含具有與上覆第一ILD 96的材料不同蝕刻速率的介電材料,諸如氮化矽、氧化矽、氧氮化矽或類似物。
在第18A圖至第18C圖中,可執行諸如CMP的平坦化製程,以使第一ILD 96及CESL 94的頂表面與虛設閘極76或遮罩78的頂表面齊平。平坦化製程亦可移除虛設閘極76上的遮罩78、及沿著遮罩78的側壁的第一間隔物81的部分。在平坦化製程之後,虛設閘極76、第一間隔物81、第一ILD 96及CESL 94的頂表面可在製程變化範圍內彼此齊平。因此,虛設閘極76的頂表面經由第一ILD 96曝光。在一些實施例中,遮罩78可保留,在這種情況下,平坦化製程使第一ILD 96的頂表面與遮罩78、第一間隔物81及CESL 94的頂表面齊平。
在第19A圖至第19C圖中,在一或多個蝕刻步驟中移除虛設閘極76及遮罩78(若存在),從而形成凹槽98。凹槽98中的虛設閘極介電質71的部分亦經移除。在一些實施例中,藉由各向異性乾式蝕刻製程來移除虛設閘極76及虛設閘極介電質71。舉例而言,蝕刻製程可包括使用反應氣體的乾式蝕刻製程,反應氣體以比蝕刻第一ILD 96、CESL 94或第一間隔物81更快的速率選擇性地蝕刻虛設閘極76。凹槽98中之各者曝光及/或上覆奈米結構55的部分,該些部分在隨後完成之奈米FET中充當通道區。充當通道區的奈米結構55的部分設置於磊晶源極/汲極區92的相鄰對之間。在移除期間,當蝕刻虛設閘極76時,虛設閘極介電質71可用作蝕刻終止層。接著可在移除虛設閘極76之後移除虛設閘極介電質71。
在第20A圖至第20C圖中,移除第一奈米結構52,這會延伸凹槽98。可藉由使用對第一奈米結構52的材料具有選擇性的蝕刻劑執行各向同性蝕刻製程(諸如濕式蝕刻或類似者)來移除第一奈米結構52,而與第一奈米結構52相比,第二奈米結構54、基板50、STI區68、第一ILD 96、CESL 94、第一間隔物81及第一內部間隔物90保持相對未蝕刻。在第二奈米結構54包括例如Si或SiC且第一奈米結構52包括例如SiGe的實施例中,可使用四甲基氫氧化銨(TMAH)、氫氧化銨(NH 4OH)或類似物來移除第一奈米結構52。
在第21A圖至第21C圖中,形成用於替換閘極的閘極介電層100及閘電極102。閘極介電層100共形地沉積於凹槽98中。閘極介電層100可形成於第二奈米結構54的頂表面、側壁及底表面上。閘極介電層100亦可沉積於第一ILD 96、CESL 94、第一間隔物81及STI區68的頂表面上。
根據一些實施例,閘極介電層100包含一或多個介電層,諸如氧化物、金屬氧化物、類似物或其組合。舉例而言,在一些實施例中,閘極介電層100可包含氧化矽層及氧化矽層上方的金屬氧化層。在一些實施例中,閘極介電層100包括高k介電材料,且在這些實施例中,閘極介電層100可具有大於約7.0的k值,並可包括鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛的金屬氧化物或矽酸鹽及其組合。閘極介電層100的結構在n型區50N與p型區50P中可相同或不同。閘極介電層100的形成方法可包括分子束沉積(molecular-beam deposition,MBD)、ALD、PECVD或類似者。
閘電極102沉積於閘極介電層100上方,並填充凹槽98的剩餘部分。閘電極102可包括含金屬材料,諸如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、其組合或其多層。舉例而言,儘管第21A圖及第21C圖中圖示單層閘電極102,但閘電極102可包括任意數目的襯裡層、任意數目的功函數調諧層及填充材料。構成閘電極102的層之任意組合可沉積於第二奈米結構54的相鄰者之間。
n型區50N與p型區50P中閘極介電層100的形成可同時進行,使得各個區中的閘極介電層100由相同的材料形成,且閘電極102之形成可同步進行,使得各個區中的閘電極102由相同的材料形成。在一些實施例中,各個區中的閘極介電層100可藉由不同的製程形成,使得閘極介電層100可係不同的材料及/或具有不同數目的層,及/或各個區中閘電極102可藉由不同製程形成,使得閘電極102可係不同的材料及/或具有不同數目的層。當使用不同的製程時,可使用各種遮蔽步驟來遮蔽及曝光適當的區。
在填充凹槽98之後,可執行諸如CMP的平坦化製程,以移除閘極介電層100及閘電極102材料的多餘部分,這些多餘部分在第一ILD 96、第一間隔物81及CESL 94的頂表面上方。閘電極102材料及閘極介電層100的剩餘部分因此形成所得奈米FET的替換閘極結構。閘電極102與閘極介電層100可統稱為「閘極結構」。
在第22A圖至第22C圖中,閘極結構(包括閘極介電層100及對應上覆閘電極102)凹陷,從而在閘極結構中之各者上方及第一間隔物81的相對部分之間直接形成凹槽。包含一或多層介電材料(諸如氮化矽、氧氮化矽或類似物)的閘極遮罩104填充於凹槽中,隨後進行平坦化製程以移除在第一ILD 96、CESL 94及第一間隔物81上方延伸的介電材料的多餘部分。隨後形成之閘極觸點(如下文關於第24A圖及第24C圖討論的閘極觸點114)穿透閘極遮罩104以接觸凹陷閘電極102的頂表面。
如第22A圖至第22C圖中進一步所示的,在第一ILD 96、CESL 94及閘極遮罩104上方沉積第二ILD 106。在一些實施例中,第二ILD 106係藉由FCVD形成的可流動膜。在一些實施例中,第二ILD 106由諸如PSG、BSG、BPSG、USG或類似物的介電材料形成,並可藉由諸如CVD、PECVD或類似者的任何適合方法來沉積。
在第23A圖至第23C圖中,蝕刻第二ILD 106、第一ILD 96、CESL 94及閘極遮罩104,以形成曝光磊晶源極/汲極區92及/或閘極結構的表面的凹槽108。可藉由使用各向異性蝕刻製程(諸如RIE、NBE或類似者)蝕刻來形成凹槽108。在一些實施例中,凹槽108可係使用第一蝕刻製程蝕刻穿過第二ILD 106及第一ILD 96;可係使用第二蝕刻製程蝕刻穿過閘極遮罩104;並可係使用第三蝕刻製程蝕刻穿過CESL 94。可在第二ILD 106上方形成並圖案化諸如光阻劑的遮罩,以自第一蝕刻製程及第二蝕刻製程遮蔽第二ILD106的部分。在一些實施例中,蝕刻製程可過度蝕刻,因此,凹槽108可延伸至磊晶源極/汲極區92及/或閘極結構中。凹槽108的底表面可與磊晶源極/汲極區92及/或閘極結構的頂表面齊平(例如,在同一位準上,或與基板50具有同一距離)、或低於磊晶源極/汲極區92及/或閘極結構的頂表面(例如,更接近基板50)。儘管第23C圖將凹槽108圖示為在相同橫截面中曝光磊晶源極/汲極區92與閘極結構,但在一些實施例中,磊晶源極/汲極區92與閘極結構可在不同橫截面中曝光,從而降低隨後形成之觸點的短路風險。
在形成凹槽108之後,在磊晶源極/汲極區92上方形成第一矽化物區110。在一些實施例中,藉由首先沉積能夠與下伏磊晶源極/汲極區92的半導體材料(例如,矽、矽鍺、鍺或類似物)反應以形成矽化物或鍺化物區的金屬(未分開圖示)來形成第一矽化物區110。金屬可包括鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他難熔金屬、稀土金屬或其合金。金屬可沉積於磊晶源極/汲極區92的經曝光部分上方,接著可執行熱退火製程以形成第一矽化物區110。接著藉由例如蝕刻製程來移除經沉積金屬的未反應部分。儘管第一矽化物區110稱為矽化物區,但第一矽化物區110亦可係鍺化物區或鍺化矽區(例如,包含矽及鍺的區)或類似者。在實施例中,第一矽化物區110包含TiSi,並具有範圍自約2 nm至約10 nm的厚度。
在第24A圖至第24C圖中,源極/汲極觸點112及閘極觸點114(亦稱為接觸插座)形成於凹槽108中。源極/汲極觸點112及閘極觸點114可各個包含一或多個層,諸如阻障層、擴散層及導電填充材料。舉例而言,在一些實施例中,源極/汲極觸點112及閘極觸點114各個包括阻障層及導電填充材料。源極/汲極觸點112及閘極觸點114各個電耦合至下伏導電特徵(例如,在所示實施例中,閘電極102或磊晶源極/汲極區92上方的第一矽化物區110)。閘極觸點114電耦合至閘電極102,而源極/汲極觸點112電耦合至磊晶源極/汲極區92上方的第一矽化物區110。阻障層可包括鈦、氮化鈦、鉭、氮化鉭或類似物。導電填充材料可係銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似物。可執行諸如CMP的平坦化製程以移除源極/汲極觸點112及閘極觸點114的多餘部分,這些多餘部分在第二ILD 106的頂表面上方。
儘管第20A圖至第20C圖圖示延伸至各個磊晶源極/汲極區92的源極/汲極觸點112,但源極/源極觸點112可自磊晶源極/汲極區92中之某些區省略。舉例而言,如以下所解釋的,導電接線(例如,電力軌道)可隨後經由磊晶源極/汲極區92中之一或多者的背側來附接。針對這些特定的磊晶源極/汲極區92,源極/汲極觸點112可省略,或可係不電耦合至任何上覆導電接線(諸如導電特徵122,以下參考第25A圖至第25C圖討論)的虛設觸點。
第2圖至第24C圖的製程形成包括複數個主動裝置的裝置層109。儘管裝置層109描述為包括奈米FET,但其他實施例可包括一裝置層109,該裝置層109包括不同類型之電晶體,諸如平面FET、FinFET、薄膜電晶體(thin film transistor,TFT)或類似者。裝置層可包括磊晶源極/汲極區92、第二奈米結構54及閘極結構(包括閘極介電層100及閘電極102)。可在裝置層109的前側上方形成第一互連結構(諸如以下關於第25A圖至第25C圖討論的前側互連結構120),並可在裝置層109的背側上方形成第二互連結構(諸如以下關於第30A圖至第30C圖討論的背側互連結構140)。
第25A圖至第30C圖圖示在裝置層109上形成前側互連結構及背側互連結構的中間步驟。前側互連結構及背側互連結構可各個包含電耦合至裝置層109中之裝置(例如,奈米FET)的導電特徵。在第25A圖至第30C圖中,以「A」結尾的圖圖示沿第1圖的線A~A'的橫截面圖,以「B」結尾的圖圖示沿第1圖的線B-B'的橫截面圖,而以「C」結尾的圖圖示沿第1圖的線C-C'的橫截面圖。第25A圖至第30C圖中所述的製程步驟可應用於n型區50N及p型區50P兩者。如上所述,背側導電特徵(例如,背側通孔或電力軌道)可電耦合至磊晶源極/汲極區92中之一或多者。如此,可可選地自磊晶源極/汲極區92省略源極/汲極觸點112。
在第25A圖至第25C圖中,在第二ILD 106上形成前側互連結構120。前側互連結構120可稱為前側互連結構,因為其形成於裝置層109的前側(例如,裝置層109的與基板50上形成主動裝置相對的一側)上。前側互連結構120可包含形成於一或多個堆疊之介電層124中的導電特徵122的一或多個層。堆疊之介電層124中之各者可包含介電材料,諸如低k介電材料、超低k (extra low-k,ELK)介電材料或類似物。介電層124可使用適當的製程來沉積,諸如CVD、ALD、PVD、PECVD或類似者。導電特徵122可包含互連導電接線層的導電接線及導電通孔。導電通孔可延伸穿過介電層124中之個別者,以提供導電接線層之間的垂直連接。導電特徵122可經由任何可接受的製程,諸如鑲嵌製程、雙重鑲嵌製程或類似者來形成。
在一些實施例中,可使用鑲嵌製程來形成導電特徵122,其中利用光學微影術與蝕刻技術之組合來圖案化個別介電層124,以形成對應於導電特徵122的所需圖案的溝槽。可沉積可選的擴散阻障層及/或可選的黏附層,接著可用導電材料填充溝槽。用於阻障層的適合材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、氧化鉭、其組合或類似物,用於導電材料的適合材料包括銅、銀、金、鎢、鋁、鈷、鎢、釕、其組合或類似物。在實施例中,導電特徵122可藉由沉積銅或銅合金的種晶層並藉由電鍍填充溝槽來形成。CMP製程或類似者可用於自個別介電層124的表面移除多餘的導電材料,並將介電層124及導電特徵122的表面平坦化以供後續處理。
第25A圖至第25C圖圖示前側互連結構120中四個層的導電特徵122及介電層124。然而,應理解,前側互連結構120可包含設置於任意數目之介電層124中的任意數目之導電特徵122。前側互連結構120可電耦合至閘極觸點114及源極/汲極觸點112以形成功能電路。在一些實施例中,由前側互連結構120形成的功能電路可包含邏輯電路、記憶體電路、影像感測器電路或類似者。
在第26A圖至第26C圖中,載體基板180藉由第一接合層182A及第二接合層182B(統稱為接合層182)接合至前側互連結構120的頂表面。載體基板180可係玻璃載體基板、陶瓷載體基板、晶圓(例如,矽晶圓)或類似者。載體基板180可在後續處理步驟期間及在完成之裝置中提供結構支援。
在各種實施例中,可使用適合的技術(諸如介電至介電接合或類似者)將載體基板180接合至前側互連結構120。介電至介電接合可包含在前側互連結構120上沉積第一接合層182A。在一些實施例中,第一接合層182A包含藉由CVD、ALD、PVD或類似者沉積的氧化矽(例如,高密度電漿(high-density plasma,HDP)氧化物或類似物)。第二接合層182B同樣可係在使用例如CVD、ALD、PVD、熱氧化或類似者接合之前在載體基板180的表面上形成的氧化層。其他適合之材料可用於第一接合層182A及第二接合層182B。
介電至介電接合製程可進一步包括對第一接合層182A及第二接合層182B中之一或多者施加表面處理。表面處理可包括電漿處理。電漿處理可在真空環境中執行。在電漿處理之後,表面處理可進一步包括可施加於接合層182中之一或多者的清洗製程(例如,用去離子水或類似物沖洗)。接著將載體基板180與前側互連結構120對準,並將兩者壓在彼此上以啟動載體基板180至前側互連結構120的預接合。預接合可在室溫(例如,約21 ℃與約25 ℃之間)下執行。在預接合之後,可藉由例如將前側互連結構120及載體基板180加熱至約170 ℃的溫度來施加退火製程。
進一步地在第26A圖至第26C圖中,在載體基板180接合至前側互連結構120之後,可翻轉裝置,使得裝置層109的背側朝上。裝置層109的背側可係指與裝置層109的前側相對的一側。
在第27A圖至第27C圖中,根據一些實施例,對基板50的背側施加減薄製程。減薄製程可包含平坦化製程(例如,機械研磨、CMP製程或類似者)、回蝕製程、其組合或類似者。在一些實施例中,減薄製程由蝕刻終止區40減慢或停止,以下將更詳細地描述。減薄製程可曝光與前側互連結構120相對的基板50、STI區68及/或鰭片66的表面。在一些實施例中,基板50及/或鰭片66的經曝光表面可包括蝕刻終止區40的經曝光表面。此外,在減薄製程之後,基板50的一部分可保留在裝置層109上方。在一些實施例中,基板50的剩餘部分可包括蝕刻終止區40的一部分。在其他實施例中,減薄製程可移除蝕刻終止區40的全部。在一些實施例中,在執行減薄製程之後,基板50及/或鰭片66的剩餘部分可具有約40 nm至約60 nm範圍內的高度D3,儘管其他高度亦係可能的。
如第27A圖至第27C圖中所示,基板50、STI區68及/或鰭片66的背側表面可在減薄製程之後彼此齊平。在一些情況下,在基板50及/或鰭片66中形成蝕刻終止區40可允許在執行減薄製程之後改善平面性。在一些實施例中,減薄製程的移除率在蝕刻終止區40中相對於基板50的其他區(例如,上覆區)的移除率可係降低的。在一些實施例中,蝕刻終止區40可具有在基板50的其他區的移除率的約55%與約90%之間的移除率,儘管蝕刻終止區40的其他相對移除率亦係可能的。在一些情況下,增加蝕刻終止區40中的雜質濃度可降低蝕刻終止區40的移除率。舉例而言,在一些實施例中,可藉由將雜質植入至約5x10 18原子/cm 3或更高的濃度來降低移除率。
以這一方式降低減薄製程的移除率可藉由例如減少凹陷效應或圖案加載效應來改善平面性。在一些情況下,如本文所述的形成蝕刻終止區40可允許減薄之後小於約5 nm的表面高度變化。在一些情況下,本文所述的技術可允許在減薄之後整個晶粒上的表面高度變化小於約5 nm。以這一方式改善平面性可改善微影術、減小特徵尺寸、改善再現性、改善均勻性、改善裝置性能或提高產率。在一些情況下,由兩個或兩個以上植入(如前所述)形成的蝕刻終止區40可允許在減薄製程期間更平滑或更逐部地降低移除率,這可導致自減薄製程的改良平面性。
在一些實施例中,減薄製程係化學機械研磨(chemical mechanical polish,CMP)製程,包含具有約10至約12範圍內pH的漿料。在一些實施例中,漿料包含KOH或類似物。在植入氧離子的實施例中,漿料可在約5至約7的範圍內。在植入氮離子的實施例中,漿料可在約4至約7的範圍內。其他漿料亦係可能的,其可具有不同於這些實例範圍的pH。
在第28A圖至第28C圖中,凹槽128形成於基板50中,第二矽化物區129形成於凹槽128中。在一些實施例中,凹槽128亦可形成於鰭片66及/或蝕刻終止區40中。凹槽128可藉由使用諸如各向同性蝕刻製程(例如,濕式蝕刻製程)、各向異性蝕刻製程(例如,乾式蝕刻製程)或類似者的適合蝕刻製程蝕刻基板50來形成。蝕刻製程可係對基板50的材料有選擇性的製程。舉例而言,蝕刻製程可以比蝕刻STI區68、閘極介電層100、磊晶源極/汲極區92、磊晶材料91及/或第一內部間隔物90的材料更快的速率蝕刻基板50的材料。凹槽128可曝光STI區68的側壁及磊晶源極/汲極區92的背側表面。在其他實施例中,在形成凹槽128之前,可移除鰭片66及/或基板50的部分,並用介電材料(未顯示)代替,其中介電材料經蝕刻以形成凹槽128。
接著,根據一些實施例,第二矽化物區129可形成於磊晶源極/汲極區92背側上的凹槽128中。第二矽化物區129可類似於上文關於第23A圖至第23C圖所述的第一矽化物區110。舉例而言,第二矽化物區129可由與第一矽化物區110相同的材料及使用相同的製程形成。
在第29A圖至第29C圖中,根據一些實施例,在凹槽128中形成背側通孔130。背側通孔130可延伸穿過鰭片66、基板50及/或STI區68,並可經由第二矽化物區129電耦合至磊晶源極/汲極區92。背側通孔130可類似於上文關於第24A圖至第24C圖所述的源極/汲極觸點112。舉例而言,背側通孔130可由與源極/汲極觸點112類似的材料及使用類似的製程形成。背側通孔130可包括銅、銅合金、銀、金、鎢、鈷、鋁、鎳、釕或類似物。可執行諸如CMP的平坦化製程以移除背側通孔130的多餘部分,這些多餘部分在STI區68及基板50的頂表面上方。
在第30A圖至第30C圖中,背側互連結構140的剩餘部分形成於背側通孔130、鰭片66、基板50及/或STI區68上方。背側互連結構140可稱為背側互連結構,因為其形成於裝置層109的背側(例如,裝置層109的與裝置層109形成主動裝置的一側相對的一側)上。在一些實施例中,背側互連結構140包括導電接線132、介電層134、導電特徵136、介電層137、重新分配層138及鈍化層139。介電層134可由與上文關於第22A圖至第22C圖所述的第二ILD 106相同或類似的材料形成。
導電接線132形成於介電層134中。形成導電接線132可包括例如使用光學微影術與蝕刻製程之組合來圖案化介電層134中的凹槽。介電層134中的凹槽的圖案可對應於導電接線132的圖案。接著藉由在凹槽中沉積導電材料來形成導電接線132。在一些實施例中,導電接線132包含金屬層,金屬層可係單層或包含由不同材料形成的複數個子層的複合層。在一些實施例中,導電接線132包含銅、鋁、鈷、鎢、鈦、鉭、釕或類似物。在用導電材料填充凹槽之前,可沉積可選的擴散阻障層及/或可選的黏附層。用於阻障層/黏附層的適合材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、氧化鉭或類似物。可使用例如CVD、ALD、PVD、電鍍或類似者來形成導電接線132。導電接線132經由背側通孔130及第二矽化物區129電耦合至磊晶源極/汲極區92。可執行平坦化製程(例如,CMP、研磨、回蝕或類似者)以移除形成於介電層134上方的導電接線132的多餘部分。
在一些實施例中,導電接線132係背側電力軌道,其係將磊晶源極/汲極區92電耦合至參考電壓、供應電壓或類似者的導電接線。藉由將電力軌道置放於半導體晶粒的背側上而非置放於半導體晶粒的前側上可達成優點。舉例而言,可增加奈米FET的閘極密度及/或前側互連結構120的互連密度。此外,半導體晶粒的背側可容納更寬的電力軌道,從而降低電阻並提高電力輸送至奈米FET的效率。舉例而言,導電接線132的寬度可係前側互連結構120的第一層級導電接線(例如,導電特徵122)的寬度的至少兩倍。
背側互連結構140的剩餘部分可類似於前側互連結構120。舉例而言,背側互連結構140可由與前側互連結構120相同或類似的材料藉由相同或類似的製程形成。背側互連結構140可包括形成於堆疊之介電層137中的導電特徵136之堆疊層。導電特徵136可包括導電接線(例如,用於路由至/自隨後形成之接觸襯墊及諸如外部連接器的導電連接器)。導電特徵136可包括在介電層137中延伸以在導電接線之堆疊層之間提供垂直互連的導電通孔。導電特徵136可包括一或多個嵌入式被動裝置,諸如電阻器、電容器、電感器或類似者。嵌入式被動裝置可與導電接線132(例如,電力軌道)整合,以在奈米FET的背側上提供電路(例如,電力電路)。
重新分配層138及鈍化層139形成於導電特徵136及介電層137上方。鈍化層139可包括諸如PBO、聚醯亞胺、BCB或類似物的聚合物。在一些實施例中,鈍化層139可包括非有機介電材料,諸如氧化矽、氮化矽、碳化矽、氧氮化矽或類似物。鈍化層139可藉由例如CVD、PVD、ALD或類似者來沉積。
重新分配層138經由鈍化層139至導電特徵136地形成。在一些實施例中,重新分配層138可用於將輸入/輸出連接提供至其他電組件,諸如其他裝置晶粒、重新分配結構、印刷電路板(printed circuit board,PCB)、母板或類似者。重新分配層138可稱為背側輸入/輸出襯墊,其可提供訊號、供應電壓及/或地面連接至奈米FET。重新分配層138可用於提供自裝置層109經由背側互連結構140的散熱路徑。重新分配層138可包括藉由電鍍製程或類似者形成的銅、鎳、金或類似物的一或多個層。
實施例可達成優點。舉例而言,形成如本文所述的植入蝕刻終止層可在減薄基板的背側時減少凹陷、圖案加載或表面高度變化(例如,階梯高度)。以這一方式,可改善經減薄表面的平面性,這可改善隨後執行之微影術製程。亦可提高裝置的均勻性及產率。本文描述的技術可允許在大面積上(諸如在一或多個半導體晶粒的整個面積上方)改善平面性。本文所述的技術可允許蝕刻終止之形成及/或改善之平面性,而無需顯著的額外成本或處理。舉例而言,在植入蝕刻終止雜質期間引入的缺陷可使用退火來部分或完全地移除。
根據本揭示的實施例,一種方法包括在半導體基板中植入雜質,以在半導體基板內形成蝕刻終止區;在半導體基板的前側上形成電晶體結構;在電晶體結構上方形成前側互連結構;在半導體基板的背側上執行減薄製程以減小半導體基板的厚度,其中減薄製程由蝕刻終止區減慢;及在半導體基板的背側上方形成背側互連結構。在實施例中,雜質包括硼、鋁、鎵、銦或鈦。在實施例中,使用5×10 14cm -2至2×10 15cm -2範圍內的劑量植入雜質。在實施例中,蝕刻終止區具有大於約5×10 18cm −3範圍的雜質濃度。在實施例中,蝕刻終止區內減薄製程的移除率在半導體基板的蝕刻終止區外的移除率的55%與90%之間。在實施例中,蝕刻終止區與半導體基板的前表面分離開40 nm至60 nm範圍內的距離。在實施例中,蝕刻終止區的一部分在執行減薄製程之後保留。在實施例中,電晶體結構包括奈米FET。
根據本揭示的實施例,一種方法包括執行植入製程以形成基板的植入區;在基板的植入區上方形成第一電晶體;在第一電晶體的第一側上方形成第一互連結構,其中第一互連結構電耦合至第一電晶體;減薄基板,其中在基板減薄之後曝光植入區;及在第一電晶體的第二側上方形成第二互連結構,其中第二互連構造電耦合至第一晶體。在實施例中,植入製程包括20 keV至40 keV範圍內的能量。在實施例中,植入區具有100 nm至300 nm範圍內的高度。在實施例中,植入區的高度對應於植入區的具有5×10 18cm −3或更高雜質濃度的一部分的高度。在實施例中,方法包括在基板的植入區上方形成隔離區,其中在減薄基板之後曝光隔離區。在實施例中,方法包括形成穿透植入區以電接觸第一電晶體的通孔,其中第二互連結構形成於通孔上方並電接觸該通孔。在實施例中,植入製程包括植入氧離子。
根據本揭示的實施例,一種裝置包括半導體鰭片,包括半導體鰭片的第一側處的植入區,其中植入區具有第一濃度之植入雜質;圍繞半導體鰭片的隔離區,其中隔離區的表面與半導體鰭片的植入區的表面齊平;半導體鰭片的第二側上的源極/汲極區;通孔,其穿透半導體鰭片以電接觸源極/汲極區,其中通孔穿透植入區;半導體鰭片的第一側上的第一互連結構,其中第一互連結構電連接至通孔;及半導體鰭片的第二側上的第二互連結構。在實施例中,第二互連結構電連接至源極/汲極區。在實施例中,隔離區與植入區的表面齊平至5 nm以內。在實施例中,第一濃度大於5×10 18cm −3。在實施例中,雜質包括硼。
前述內容概述若干實施例的特徵,使得熟習此項技術者可更佳地理解本揭示的態樣。熟習此項技術者應瞭解,其可易於使用本揭示作為用於設計或修改用於實施本文中引入之實施例之相同目的及/或達成相同優勢之其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不偏離本揭示的精神及範疇,且此類等效構造可在本文中進行各種改變、取代、及替代而不偏離本揭示的精神及範疇。
20:分隔器 30~32:光阻劑 40:蝕刻終止區 50:基板 50N:n型區 50P:p型區 51:第一半導體層 51A~51C:第一半導體層 52:第一奈米結構 52A~52C:第一奈米結構 53:第二半導體層 53A~53C:第二半導體層 54:第二奈米結構 54A~54C:第二奈米結構 55:奈米結構 64:多層堆疊 66:鰭片 68:STI區 70:虛設介電層 71:虛設閘極介電質 72:虛設閘極層 74:遮罩層 76:虛設閘極 78:遮罩 80:第一間隔層 81:第一間隔物 82:第二間隔層 83:第二間隔物 86:凹槽 88:側壁凹槽 90:第一內部間隔物 92:磊晶源極/汲極區 92A:第一半導體材料層 92B:第二半導體材料層 92C:第三半導體材料層 94:CESL 96:第一ILD 98:凹槽 100:閘極介電層 102:閘電極 104:閘極遮罩 106:第二ILD 108:凹槽 109:裝置層 110:第一矽化物區 112:源極/汲極觸點 114:閘極觸點 120:前側互連結構 122:導電特徵 124:介電層 128:凹槽 129:第二矽化物區 130:背側通孔 132:導電接線 134:介電層 136:導電特徵 137:介電層 138:重新分配層 139:鈍化層 140:背側互連結構 180:載體基板 182:接合層 182A:第一接合層 182B:第二接合層 D1:高度 D2:距離 D3:高度
本揭示的態樣在與隨附圖式一起研讀時自以下詳細描述內容來最佳地理解。應注意,根據行業中的標準規範,各種特徵未按比例繪製。實際上,各種特徵的尺寸可為了論述清楚經任意地增大或減小。 第1圖根據一些實施例以三維視圖圖示奈米結構場效電晶體(奈米FET)的實例。 第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10A圖、第10B圖、第10C圖、第11A圖、第11B圖、第11C圖、第12A圖、第12B圖、第12C圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第14C圖、第15A圖、第15B圖、第15C圖、第15D圖、第16A圖、第16B圖、第16C圖、第16D圖、第16E圖、第17A圖、第17B圖、第17C圖、第18A圖、第18B圖、第18C圖、第19A圖、第19B圖、第19C圖、第20A圖、第20B圖、第20C圖、第21A圖、第21B圖、第21C圖、第22A圖、第22B圖、第22C圖、第23A圖、第23B圖、第23C圖、第24A圖、第24B圖、第24C圖、第25A圖、第25B圖、第25C圖、第26A圖、第26B圖、第26C圖、第27A圖、第27B圖、第27C圖、第28A圖、第28B圖、第28C圖、第29A圖、第29B圖、第29C圖、第30A圖、第30B圖及第30C圖係根據一些實施例的製造奈米FET的中間階段之橫截面圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
40:蝕刻終止區
50:基板
50N:n型區
50P:p型區
D1:高度
D2:距離

Claims (20)

  1. 一種方法,包含: 在一半導體基板中植入多個雜質以在該半導體基板內形成一蝕刻終止區; 在該半導體基板的一前側上形成一電晶體結構; 在該電晶體結構上方形成一前側互連結構; 在該半導體基板的一背側上執行一減薄製程以減小該半導體基板的一厚度,其中該減薄製程被該蝕刻終止區減慢;及 在該半導體基板的該背側上方形成一背側互連結構。
  2. 如請求項1所述之方法,其中該些雜質包括硼、鋁、鎵、銦或鈦。
  3. 如請求項1所述之方法,其中該些雜質使用5×10 14cm −2至2×10 15cm −2範圍內的一劑量植入。
  4. 如請求項1所述之方法,其中該蝕刻終止區具有大於約5×10 18cm −3範圍內的一雜質濃度。
  5. 如請求項1所述之方法,其中該蝕刻終止區內該減薄製程的移除率在該半導體基板的該蝕刻終止區之外的移除率的55%與90%之間。
  6. 如請求項1所述之方法,其中該蝕刻終止區與該半導體基板的一前表面分離開40 nm至60 nm範圍內的一距離。
  7. 如請求項1所述之方法,其中在執行該減薄製程之後,該蝕刻終止區的一部分保留。
  8. 如請求項1所述之方法,其中該電晶體結構包含一奈米場效電晶體。
  9. 一種方法,包含: 執行一植入製程以形成一基板的一植入區; 在該基板的該植入區上方形成一第一電晶體; 在該第一電晶體的一第一側上方形成一第一互連結構,其中該第一互連結構電耦合至該第一電晶體; 減薄該基板,其中在該減薄該基板之後暴露該植入區;及 在該第一電晶體的一第二側上方形成一第二互連結構,其中該第二互連結構電耦合至該第一晶體。
  10. 如請求項9所述之方法,其中該植入製程包含20 keV至40 keV範圍內的一能量。
  11. 如請求項9所述之方法,其中該植入區具有100 nm至300 nm範圍內的一高度。
  12. 如請求項11所述之方法,其中該植入區的該高度對應至具有5×10 18cm −3或更高的一雜質濃度的該植入區的一部分的該高度。
  13. 如請求項9所述之方法,進一步包含:在該基板的該植入區上方形成一隔離區,其中該隔離區在該減薄該基板之後暴露。
  14. 如請求項9所述之方法,進一步包含:形成一通孔穿透該植入區以電接觸該第一電晶體,其中該第二互連結構形成於該通孔上方並電接觸該通孔。
  15. 如請求項9所述之方法,其中該植入製程包含植入多個氧離子。
  16. 一種裝置,包含: 一半導體鰭片,在該半導體鰭片的一第一側處包含一植入區,其中該植入區具有一第一濃度的該些植入雜質; 一隔離區,圍繞該半導體鰭片,其中該隔離區的表面與該半導體鰭片的該植入區的表面齊平的; 一源極/汲極區,位在該半導體鰭片的一第二側上; 一通孔,穿透該半導體鰭片以電接觸該源極/汲極區,其中該通孔穿透該植入區; 一第一互連結構,位在該半導體鰭片的該第一側上方,其中該第一互連結構電連接至該通孔;及 一第二互連結構,位在該半導體鰭片的該第二側上方。
  17. 如請求項16所述之裝置,其中該第二互連結構電連接至該源極/汲極區。
  18. 如請求項16所述之裝置,其中該隔離區與該植入區的表面齊平在5 nm以內。
  19. 如請求項16所述之裝置,其中該第一濃度大於5×10 18cm −3
  20. 如請求項16所述之裝置,其中該些雜質包含硼。
TW112126364A 2022-09-07 2023-07-14 半導體裝置及其製造方法 TW202414552A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63/374,807 2022-09-07
US18/152,454 2023-01-10

Publications (1)

Publication Number Publication Date
TW202414552A true TW202414552A (zh) 2024-04-01

Family

ID=

Similar Documents

Publication Publication Date Title
US11239208B2 (en) Packaged semiconductor devices including backside power rails and methods of forming the same
US11349004B2 (en) Backside vias in semiconductor device
US11664374B2 (en) Backside interconnect structures for semiconductor devices and methods of forming the same
US11810917B2 (en) Self-aligned etch in semiconductor devices
US11450600B2 (en) Semiconductor devices including decoupling capacitors
US11799002B2 (en) Semiconductor devices and methods of forming the same
US20240021684A1 (en) Semiconductor devices and methods of forming the same
US20220367454A1 (en) Backside Interconnect Structures for Semiconductor Devices and Methods of Forming the Same
US11417767B2 (en) Semiconductor devices including backside vias and methods of forming the same
US20240096805A1 (en) Semiconductor devices with backside routing and method of forming same
KR102456276B1 (ko) 후측 전력 레일들을 포함하는 반도체 디바이스들용 스페이서들
US20230386993A1 (en) Semiconductor Devices Including Decoupling Capacitors
US11942390B2 (en) Thermal dissipation in semiconductor devices
US20230013764A1 (en) Semiconductor Devices Including Backside Capacitors and Methods of Manufacture
US11355410B2 (en) Thermal dissipation in semiconductor devices
US20210375761A1 (en) Semiconductor Devices with Backside Routing and Method of Forming Same
US20240079239A1 (en) Etch Stop Region for Semiconductor Device Substrate Thinning
US20220310785A1 (en) Semiconductor Devices Including Backside Power Rails and Methods of Manufacture
US11855040B2 (en) Ion implantation with annealing for substrate cutting
TW202414552A (zh) 半導體裝置及其製造方法
KR102526328B1 (ko) 후면 측 비아들을 포함한 반도체 디바이스들 및 그 형성 방법들
US20230386971A1 (en) Semiconductor Devices Including Through Vias and Methods of Forming the Same
US20230387012A1 (en) Semiconductor Devices Including Backside Power Via and Methods of Forming the Same
US20220028994A1 (en) Thin Film Transfer Using Substrate with Etch Stop Layer and Diffusion Barrier Layer
CN117393503A (zh) 半导体器件及其形成方法