TWI777359B - 半導體元件與其製造方法 - Google Patents

半導體元件與其製造方法 Download PDF

Info

Publication number
TWI777359B
TWI777359B TW110100770A TW110100770A TWI777359B TW I777359 B TWI777359 B TW I777359B TW 110100770 A TW110100770 A TW 110100770A TW 110100770 A TW110100770 A TW 110100770A TW I777359 B TWI777359 B TW I777359B
Authority
TW
Taiwan
Prior art keywords
source
drain
layer
epitaxial source
contact
Prior art date
Application number
TW110100770A
Other languages
English (en)
Other versions
TW202141633A (zh
Inventor
廖翊博
黃禹軒
王培宇
鍾政庭
蔡慶威
陳豪育
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202141633A publication Critical patent/TW202141633A/zh
Application granted granted Critical
Publication of TWI777359B publication Critical patent/TWI777359B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

在一些實施例中,半導體元件包含:第一互連結構,包含金屬化圖案;第二互連結構,包含電源導線;元件層,在第一互連結構與第二互連結構間,元件層包含第一電晶體,第一電晶體包含磊晶源極/汲極區;及導電通孔件,延伸通過元件層,導電通孔件將電源導線連接至金屬化圖案,導電通孔件接觸磊晶源極/汲極區。

Description

半導體元件與其製造方法
本揭露的一些實施例是關於一種半導體元件與其製造方法,特別是關於一種包含連接磊晶源極/汲極區的通孔件的半導體元件與其製造方法。
半導體元件被用於各種電子應用中,諸如,舉例而言,個人電腦、手機、數位相機及其他電子設備。半導體元件通常藉由以下方式所產製:依次在半導體基材之上沉積絕緣或介電層、導電層及半導體材料層,並使用微影製程圖案化各種材料層以在材料層上形成電路組件及元件。
半導體產業藉由不斷減小最小特徵尺寸以不斷改良各種電子組件(例如,電晶體、二極管、電阻器、電容器等)的積體密度,此舉允許將更多的組件整合至給定的區域中。然而,隨著最小特徵尺寸減少,出現應解決之其他問題。
在本揭露中,此半導體元件包含:奈米結構、閘極結構、磊晶源極/汲極區、第一介電層、第二介電層及電源導線通孔件。閘極結構圍繞奈米結構,磊晶源極/汲極區毗鄰閘極結構,第一介電層在磊晶源極/汲極區的上部分之上,第二介電層在磊晶源極/汲極區的下部分之下,電源導線通孔件延伸通過第一介電層及第二介電層,電源導線通孔件實體地及電性耦合至磊晶源極/汲極區的上部分與下部分。
在一些實施例中,半導體元件包含:第一互連結構、第二互連結構、元件層及導電通孔件。第一互連結構包含金屬化圖案。第二互連結構包含電源導線。元件層在第一互連結構與第二互連結構間。元件層包含第一電晶體。第一電晶體包含磊晶源極/汲極區。導電通孔件延伸通過元件層。導電通孔件將電源導線連接至金屬化圖案。導電通孔件接觸磊晶源極/汲極區。
在一實施例中,製造半導體元件的方法包含:在鰭狀結構之上形成奈米結構。在奈米結構周圍形成閘極結構。毗鄰閘極結構成長磊晶源極/汲極區。在磊晶源極/汲極區上沉積第一介電層。形成第一觸點,第一觸點通過第一介電層以接觸磊晶源極/汲極區。形成第二觸點,第二觸點通過鰭狀結構以接觸磊晶源極/汲極區及第一觸點。
A-A:截面
B-B:截面
D1:深度
D2:距離
D3:距離
D4:距離
D5:距離
D6:距離
D7:距離
D8:距離
H1:高度
H2:高度
H3:高度
T1:厚度
T2:厚度
T3:厚度
W1:寬度
W2:寬度
50:基材
50N:n型區
50P:p型區
52:多層堆疊
52A:第一半導體層
52B:第二半導體層
54:鰭狀結構
56:奈米結構
56A:第一奈米結構
56B:第二奈米結構
58:遮罩
60:淺溝槽隔離區
62:虛設介電層
64:虛設閘極層
66:遮罩層
68:通道區
72:虛設介電質
74:虛設閘極
76:遮罩
80:閘極間隔件
82:源極/汲極凹陷
84:內部間隔件
92:磊晶源極/汲極區
92P:磊晶源極/汲極區
94:接觸蝕刻停止層
96:第一層間介電質
98:凹槽
100:閘極結構
102:閘極介電質
104:閘極電極
106:源極/汲極觸點開口
106R:源極/汲極觸點開口
106P:源極/汲極觸點開口
108:金屬半導體合金區
112A:第一源極/汲極觸點
112AP:第一源極/汲極觸點
112B:第二源極/汲極觸點
112C:第三源極/汲極觸點
114:第二層間介電質
116:觸點開口
116A:觸點開口
116B:觸點開口
116C:觸點開口
118:閘極觸點
120:元件層
122:互連結構
124:導電特徵
124A:導電線路
126:介電層
128:介電層
130:載體基材
132:結合層
132A:結合層
132B:結合層
134:遮罩
136:源極/汲極觸點開口
136P:源極/汲極觸點開口
138:金屬半導體合金區
142:介電層
144:導電特徵
144P:電源通道
148:導電通孔件
150:互連結構
152:介電層
154:導電特徵
160:鈍化層
162:球下金屬層
164:外部連接器
172:介電特徵
174:電源導線通孔件開口
176:電源導線觸點
178:共享觸點
180:開口
182:介電栓塞
當與附圖一起閱讀時,可由後文實施方式最佳地理解本揭露內容的態樣。注意到根據此行業中之標準實務,各種特徵並未按比例繪製。實際上,為論述的清楚性,可任意增加或減少各種特徵的尺寸。
第1圖為根據一些實施例,例示簡化的奈米結構場效電晶體(奈米場效電晶體)的範例。
第2圖至第14B圖為根據一些實施例,奈米場效電晶體的製造中之中間階段的各種視圖。
第15圖至第22圖為根據一些實施例,半導體元件的製造中之中間階段的各種視圖。
第23A圖至第31圖為根據另一些實施例,半導體元件的製造中之中間階段的各種視圖。
第32A圖至第40圖為根據另一些實施例,半導體元件的製造中之中間階段的各種視圖。
後文揭露內容提供用於實行本揭露內容的不同特徵之許多不同實施例或範例。後文描述組件及佈置之特的範例以簡化本揭露內容。當然,這些僅為範例且未意圖具限制性。舉例而言,在後文的描述中,在第二特徵之上或上形成第一特徵可包含以直接接觸方式形成第一特徵及第二特徵的實施例,且亦可包含在第一特徵與第二特徵間形 成額外特徵,使得第一特徵及第二特徵可不直接接觸的實施例。此外,在各種範例中,本揭露內容可能重複元件符號及/或字母。此重複係出於簡單及清楚的目的,且重複本身並不規範所論述的各種實施例及/或配置間之關係。
再者,為便於描述,本文中可使用諸如「在...之下」、「在...下方」、「較低」、「在...上方」、「較高」、及類似者的空間相對術語,以描述圖示中所例示之一個元件或特徵與另一元件(等)或特徵(等)的關係。除圖示中所描繪之方位之外,空間相對術語亦意圖涵蓋元件在使用或操作中之不同方位。設備能以其他方式定向(旋轉90度或以其他定向),且本文中使用之空間相對描述語可同樣以相應的方式解釋。
根據各種實施例,形成具有置於兩個互連結構間之元件層的半導體元件。元件層包含電晶體,例如,奈米結構場效電晶體(nanostructure field effect transistors,nano-FET)。其中一個互連結構在元件層的前側處,並包含導電特徵,導電特徵互連元件層的電晶體以形成功能電路(functional circuit)。另一個互連結構在元件層的背側處,並包含被用於為元件層提供電源電路之導電特徵。具體而言,背側互連結構包含專用的電源導線,用於向功能電路提供參考電壓、電源電壓或類似者等。形成通過元件層之導電通孔件,從而將背側互連結構的導電特徵連接至前側互連結構的導電特徵。形成此導電通孔件允許藉由導電特徵(而非藉由半導體特徵)連接互連 結構,此舉可改善所得半導體元件的性能。
第1圖例示根據一些實施例之簡化的奈米結構場效電晶體的範例。第1圖為一剖開的三維視圖,為例示清晰起見,其中省略奈米結構場效電晶體的一些功能。奈米結構場效電晶體可為奈米片材場效電晶體(nanosheet field-effect transistors,NSFET)、奈米線場效電晶體(nanowire field-effect transistors,NWFET)、閘極全環場效電晶體(gate-all-around field-effect transistors,GAAFET)或類似者。
奈米結構場效電晶體包含在基材50上,諸如在鰭狀結構54之上從基材50延伸之奈米結構56。奈米結構56為充當奈米結構場效電晶體之通道區之半導體層。隔離區60(諸如淺溝槽隔離(shallow trench isolation,STI)區)置於基材50上及毗鄰的鰭狀結構54間,鰭狀結構54可突出相鄰的隔離區60上方及在相鄰的隔離區60間突出。儘管將隔離區60描述/例示成與基材50分離,但如本文所使用,術語「基材」可指代單獨的基材50或基材50及隔離區60的組合。此外,儘管將鰭狀結構54例示為具有基材50之單一、連續材料,鰭狀結構54及/或基材50可包含單一材料或複數種材料。在此背景中,鰭狀結構54指代在相鄰隔離區60上方及從相鄰的隔離區60間延伸之部分。
將閘極結構100包裹在奈米結構56周圍。閘極結構100包含閘極介電質102及閘極電極104。閘極介電 質102沿著奈米結構56的頂部表面、側壁及底部表面,並可沿著鰭狀結構54的側壁及/或頂部表面之上延伸。閘極電極104在閘極介電質102之上。磊晶源極/汲極區92置於閘極結構100的相對側上。在形成多個電晶體的實施例中,可在各種電晶體間共享磊晶源極/汲極區92。舉例而言,可將相鄰的磊晶源極/汲極區92電性耦合,諸如藉由磊晶成長聚結磊晶源極/汲極區92,或將磊晶源極/汲極區92與相同源極/汲極觸點耦合。一個或更多個層間介電(interlayer dielectric,ILD)層(等)(在後文會更詳細地論述)在磊晶源極/汲極區92及/或閘極結構100之上,觸點(後文會更詳細地論述)被形成以貫穿層間介電層至磊晶源極/汲極區92及閘極電極104。
本文中之一些實施例係以使用閘極後(Gate-last)製程所形成之奈米結構場效電晶體作為討論。在其他實施例中,可使用閘極先(Gate-first)製程。而且,一些實施例考量在平面元件,諸如平面場效電晶體或鰭狀結構場效電晶體(fin field-effect transistors,FinFET)中,所使用之態樣。
第1圖進一步例示在後文圖示中所使用之參考截面視面。截面A-A沿著奈米結構56的縱軸並在,舉例而言,奈米結構場效電晶體的磊晶源極/汲極區92間之電流方向的方向上。為清楚起見,後續圖示參閱這些參考截面視面。
第2圖至第14B圖為根據一些實施例,奈米結構 場效電晶體的製造中之中間階段的各種視圖。除圖示一個閘極結構及兩個鰭狀結構外,第2圖、第3圖、第4圖、第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖及第14A圖為圖示與第1圖類似之三維視圖。第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖及第14B圖為例示沿著圖1中之參考截面A-A之截面視圖。第5A圖、第6A圖、第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖及第14A圖為簡化的三維視圖,且未繪示所對應的第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖及第14B圖的所有特徵。
在第2圖中,提供用於形成奈米結構場效電晶體的基材50。基材50可為半導體基材,諸如塊狀半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)或類似者,此半導體基材可為已(例如,採用p型或n型摻雜劑)摻雜或無摻雜。基材50可為晶圓,諸如矽晶圓。通常而言,絕緣體上半導體基材為在絕緣體層上所形成之半導體材料的層。絕緣體層可為,舉例而言,埋入氧化物(buried oxide,BOX)層、氧化矽層或類似者。將絕緣層置於通常為矽或玻璃基材之基材上。亦可使用其他基材,諸如多層或梯度基材(gradient substrate)。在一些實施例中,基材50的半導體材料可包含矽(Si)、鍺(Ge), 包含碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb)之複合半導體,包含矽鍺(SiGe)、磷化砷化鎵(GaAsP)、鋁砷化銦(InAlAs)、鋁砷化鎵(AlGaAs)、鎵砷化銦(InGaAs)、鎵磷化銦(InGaP)及/或鎵磷化砷化銦(GaInAsP)之合金半導體或其組合。
基材50具有n型區50N及p型區50P。n型區50N可用於形成n型元件,諸如n型金屬氧化物半導體(Metal-Oxide-Semiconductor)電晶體,例如,n型奈米結構場效電晶體,而p型區50P可用於形成p型元件,諸如p型金屬氧化物半導體電晶體,例如,p型奈米結構場效電晶體。n型區50N可與p型區50P實體地分離(並未另外例示),且任意數量的元件特徵(例如,其他主動元件、摻雜區、隔離結構等)可置於n型區50N與p型區50P間。
可採用p型或n型雜質輕度摻雜基材50。可在基材50的上部分上進行抗穿通(anti-punch-through,APT)植入製程以形成抗穿通區。在抗穿通植入製程期間,可在n型區50N及p型區50P中植入摻雜劑。摻雜劑可具有與每個隨後將在n型區50N及p型區50P中所形成之源極/汲極區的導電類型相反之導電類型。抗穿通區可在奈米結構場效電晶體中隨後形成的源極/汲極區下方延伸,將在後續製程中形成源極/汲極區。可將抗穿通區用於減少從源極/汲極區到基材50之漏電。在一些實施例中,抗穿 通區中之摻雜濃度可在約1018/立方公分至約1019/立方公分的範圍內。
在基材50之上形成多層堆疊52。多層堆疊52包含交替的第一半導體層52A及第二半導體層52B。第一半導體層52A由第一半導體材料形成,第二半導體層52B由第二半導體材料形成。可各從基材50的候選半導體材料中選擇半導體材料。在例示的實施例中,多層堆疊52包含各四層的第一半導體層52A及第二半導體層52B。應理解到,多層堆疊52可包含任何數量的第一半導體層52A及第二半導體層52B。
在例示的實施例中,第二半導體層52B將會用於在n型區50N及p型區50P二者中形成用於奈米結構場效電晶體之通道區。第一半導體層52A為犧牲層(或虛設層),將在後續處理中去除犧牲層(或虛設層)以暴露兩區中之第二半導體層52B的頂部表面及底部表面。第二半導體層52B的第二半導體材料為適用於n型及p型奈米結構場效電晶體二者之材料,諸如矽,且第一半導體層52A的第一半導體材料為相對於第二半導體材料的蝕刻具有高蝕刻選擇性之材料,諸如矽鍺。
在另一實施例中,第一半導體層52A將會用於在一區(例如,p型區50P)中形成用於奈米結構場效電晶體之通道區,且第二半導體層52B將會用於在另一區(例如,n型區50N)中形成用於奈米結構場效電晶體之通道區。第一半導體層52A的第一半導體材料可為適用於p型奈米結 構場效電晶體,諸如矽鍺(例如,SixGe1-x,其中x可在0至1的範圍內)、純或實質上純的鍺、三-五(III-V)族複合半導體、二-六(II-VI)族複合半導體或類似者,且第二半導體層52B的第二半導體材料可適用於n型奈米結構場效電晶體,諸如矽、碳化矽、三-五族複合半導體、二-六族複合半導體或類似者。第一半導體材料及第二半導體材料可具有相對於彼此的蝕刻之高蝕刻選擇性,因而可在不去除n型區50N中之第二半導體層52B之情況下去除第一半導體層52A,並可在不去除p型區50P中之第一半導體層52A之情況下去除第二半導體層52B。
可使用諸如氣相磊晶(vapor phase epitaxy,VPE)、分子束磊晶(molecular beam epitaxy,MBE)、化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)或類似製程,以形成多層堆疊52的每一層。可將每個層形成至較小的厚度,諸如在約5奈米至約30奈米的範圍內之厚度。在一些實施例中,將一組的層(例如,第二半導體層52B)形成為比另一組的層(例如,第一半導體層52A)薄。舉例而言,在其中第二半導體層52B被用於形成通道區且第一半導體層52A為犧牲層(或虛設層)之實施例中,可將第一半導體層52A形成至第一厚度T1且可將第二半導體層52B形成至第二厚度T2,第二厚度T2比第一厚度T1小約30%至約60%。將第二半導體層52B形成至較小的厚度允許以較大的密度形成通道區。
在第3圖中,在基材50及多層堆疊52中蝕刻溝槽以形成鰭狀結構54及奈米結構56。鰭狀結構54為在基材50中所圖案化之半導體條狀。奈米結構56包含在鰭狀結構54上之多層堆疊52的其餘部分。具體而言,奈米結構56包含交替的第一奈米結構56A及第二奈米結構56B。第一奈米結構56A及第二奈米結構56B分別由第一半導體層52A及第二半導體層52B的其餘部分形成。在形成之後,處於結構的中間層的第二奈米結構56B置於兩個第一奈米結構56A間。蝕刻(製程)可為任何可接受的蝕刻製程,諸如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似製程或其組合,並可採用具有鰭狀結構54及奈米結構56的圖案之遮罩58進行此蝕刻(製程)。蝕刻製程可為非等向性製程。
可藉由任何合適的方法圖案化鰭狀結構54及奈米結構56。舉例而言,可使用一個或更多個光微影製程,包含雙圖案或多圖案製程,以圖案化鰭狀結構54及奈米結構56。通常而言,雙圖案或多圖案製程結合光微影製程及自對準製程,而允許待創建之圖案具有,例如,比其他使用單一、直接光微影製程所能獲得之間距小的間距。舉例而言,在一個實施例中,使用光微影製程,以在基材之上形成犠牲層並圖案化犠牲層。使用自對準製程,以在經圖案化的犠牲層旁邊形成間隔件。接著去除犠牲層,且接著將其餘的間隔件用作圖案化鰭狀結構54及奈米結構56之遮 罩58。在一些實施例中,遮罩58(或其他層)可保留在奈米結構56上。
在一些實施例中,鰭狀結構54及奈米結構56可具有在約8奈米至約40奈米的範圍內之寬度。為了例示性目的,將在n型區50N及p型區50P中之鰭狀結構54及奈米結構56例示為具有實質相等的寬度。在一些實施例中,在一個區(例如,n型區50N))中之鰭狀結構54及奈米結構56可比在另一區(例如,p型區50P)中之鰭狀結構54及奈米結構56更寬或更窄。
接著形成毗鄰鰭狀結構54之淺溝槽隔離區60。可藉由在基材50及奈米結構56之上及毗鄰的鰭狀結構54間沉積絕緣材料,以形成淺溝槽隔離區60。絕緣材料可為諸如氧化矽的氧化物、諸如氮化矽的氮化物、類似者或其組合,且可藉由高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)、類似製程或其組合,形成絕緣材料。可使用藉由任何可接受的製程所形成之其他絕緣材料。在例示的實施例中,絕緣材料是藉由可流動化學氣相沉積製程所形成之氧化矽。一旦形成絕緣材料,即可進行退火製程。在實施例中,形成絕緣材料使得多餘絕緣材料覆蓋遮罩58(若存在)或奈米結構56。儘管將絕緣材料例示為單一層,但一些實施例可利用多層。舉例而言,在一些實施例中,可首先沿著基材50、鰭狀結構54、及 奈米結構56的表面形成襯墊。此後,可在襯墊之上形成諸如前文所論述之填充材料。
接著將去除製程應用於絕緣材料以去除在遮罩58(若存在)或奈米結構56之上之多餘絕緣材料。在一些實施例中,可利用諸如化學機械研磨(chemical mechanical polish,CMP)、回蝕製程、其組合、或類似製程之平坦化製程。平坦化製程暴露遮罩58(若存在)或奈米結構56,使得在完成平坦化之後,遮罩58(若存在)或奈米結構56的頂部表面分別與絕緣材料(在製程變量之內)共平面。
接著凹陷絕緣材料以形成淺溝槽隔離區60。凹陷絕緣材料,使得至少一部分的奈米結構56從相鄰的淺溝槽隔離區60間突起。在例示的實施例中,淺溝槽隔離區60的頂部表面與鰭狀結構54的頂部表面(在製程變之量內)共平面。在一些實施例中,淺溝槽隔離區60的頂部表面在鰭狀結構54的頂部表面上方或下方。再者,淺溝槽隔離區60的頂部表面可具有如所例示之平坦表面、凸起狀表面、凹入狀表面(諸如凹碟狀)或其組合。可藉由適當的蝕刻將淺溝槽隔離區60的頂部表面形成平坦、凸起狀及/或凹入狀。可使用可接受的蝕刻製程,諸如對絕緣材料具有選擇性(例如,以比鰭狀結構54及奈米結構56的材料更快的速率,選擇性地蝕刻淺溝槽隔離區60的絕緣材料)之製程,以使淺溝槽隔離區60凹陷。舉例而言,可使用,舉例而言,使用稀釋的氫氟(dHF)酸,之氧化物去除製程。
可在形成淺溝槽隔離區60之前、期間、或之後去除遮罩58(或其他層)。舉例而言,可藉由用於圖案化鰭狀結構54及奈米結構56之蝕刻製程或藉由用於凹陷淺溝槽隔離區60之蝕刻製程,以去除遮罩58。在另一個實施例中,在凹陷淺溝槽隔離區60之後,藉由另一蝕刻製程去除遮罩58。
前文所述之製程僅為如何形成鰭狀結構54及奈米結構56的一個範例。在一些實施例中,可藉由磊晶成長製程形成鰭狀結構54及奈米結構56。舉例而言,可在基材50的頂部表面之上形成介電層,且可將溝槽蝕刻通過介電層以暴露下層的基材50。可在溝槽中磊晶成長磊晶結構,且可凹陷介電層,使得磊晶結構從介電層突出以形成鰭狀結構54及奈米結構56。磊晶結構可包含前文所論述之交替半導體材料,諸如第一半導體材料及第二半導體材料。在磊晶結構為磊晶成長之實施例中,磊晶成長材料可在成長期間被原位摻雜,儘管可一起使用原位摻雜與植入製程,在成長期間被原位摻雜可免除之前及/或之後的植入製程。
再者,可在基材50、鰭狀結構54及/或奈米結構56中形成適當的井。在一些實施例中,可在n型區50N中形成p型井,並可在p型區50P中形成n型井。在另一實施例中,可在n型區50N及p型區50P二者中形成p型井或n型井。
在具有不同井類型之實施例中,可使用光阻劑或其 他遮罩,以實現n型區50N及p型區50P之不同植入步驟。舉例而言,可在n型區50N中之鰭狀結構54、奈米結構56及淺溝槽隔離區60之上形成光阻劑。圖案光阻劑以暴露p型區50P。可藉由使用旋轉塗布技術形成光阻劑,並可使用可接受的光微影製程技術圖案化光阻劑。一旦圖案化光阻劑,在p型區50P中進行n型雜質植入,且光阻劑可充當屏蔽罩以實質防止n型雜質被植入至n型區50N中。n型雜質可為以約1013/立方公分至約1014/立方公分的範圍內之濃度,被植入至區中之磷(P)、砷(As)、銻(Sb)或類似物。在植入雜質後,(諸如藉由可接受的灰化製程)去除光阻劑。
在p型區50P的植入之後,在p型區50P中之鰭狀結構54、奈米結構56及淺溝槽隔離區60之上形成光阻劑。圖案化光阻劑以暴露n型區50N。可藉由使用旋塗技術形成光阻劑,並可使用可接受的光微影製程技術圖案光阻劑。一旦圖案光阻劑,在n型區50N中進行n型雜質植入,且光阻劑可充當屏蔽罩以實質地防止p型雜質被植入至p型區50P中。p型雜質可為以約1013/立方公分至約1014/立方公分的範圍內之濃度,被植入至區中之硼(B)、氟化硼(BF)、銦(In)或類似者。在植入雜質後,可(諸如藉由可接受的灰化製程)去除光阻劑。
在n型區50N及p型區50P的植入之後,可進行退火以修復植入損壞並活化已植入之p型及/或n型雜質。在一些實施例中,可在成長期間原位摻雜磊晶鰭狀結構的 成長材料,儘管可一起使用原位摻雜與植入製程,在成長期間原位摻雜可免除之前及/或之後的植入製程。
在第4圖中,在鰭狀結構54及奈米結構56上形成虛設介電層62。虛設介電層62可為,舉例而言,氧化矽、氮化矽、其組合或類似物,且可根據可接受的技術,以沉積或熱成長虛設介電層62。在虛設介電層62之上形成虛設閘極層64,並在虛設閘極層64之上形成遮罩層66。可在虛設介電層62之上沉積虛設閘極層64,且接著,諸如藉由化學機械研磨,平坦化虛設閘極層64。可在虛設閘極層64之上沉積遮罩層66。虛設閘極層64可為導電或非導電材料,且可選自包含以下各者之群組:非晶矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物及金屬。可藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍沉積或其他用於沉積所選材料之技術,以沉積虛設閘極層64。虛設閘極層64可由對絕緣材料的蝕刻具有高蝕刻選擇性之材料(等),例如,淺溝槽隔離區60及/或虛設介電層62的材料(等),製成。遮罩層66可包含一層或更多層的材料,舉例而言,氮化矽、氮氧化矽(SiON)或類似者。在此範例中,形成單一虛設閘極層64及單一遮罩層貫穿n型區50N及p型區50P。儘管圖示虛設介電層62覆蓋淺溝槽隔離區60,但應當理解,能以其他方式形成虛設介電層62。在一些實施例中,諸如當虛設介電層62為熱成長時,形成虛設介電層62以僅覆蓋鰭狀結構54 及奈米結構56。
第5A圖至圖第14B圖例示奈米結構場效電晶體的製造中進一步的中間階段。第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖及第14B圖可適用於n型區50N及p型區50P二者。在隨附各附圖之正文中描述n型區50N及p型區50P的結構上之差異(若有)。
在第5A圖及第5B圖中,使用可接受的光微影製程及蝕刻技術,圖案化遮罩層66以形成遮罩76。接著藉由可接受的蝕刻技術將遮罩76的圖案轉移至虛設閘極層64以形成虛設閘極74。可選擇地藉由可接受的蝕刻技術將遮罩76的圖案進一步轉移至虛設介電層62以形成虛設介電質72。虛設閘極74覆蓋奈米結構56將在後續處理中暴露以形成通道區的部分。具體而言,虛設閘極74沿著將被用於形成通道區68之奈米結構56的部分延伸。可將遮罩76的圖案用於實體地分離毗鄰的虛設閘極74。虛設閘極74亦可具有與鰭狀結構54的長度方向(在製程變量之內)實質垂直之長度方向。可在圖案化之後,諸如藉由可接受的蝕刻技術,備選地去除遮罩76。
在第6A圖及第6B圖中,在奈米結構56及鰭狀結構54之上、遮罩76、虛設閘極74及虛設介電質72的暴露的側壁上形成閘極間隔件80。可藉由共形地形成絕緣材料並隨後蝕刻絕緣材料,以形成閘極間隔件80。閘極間隔件80的絕緣材料可為氮化矽、碳氮化矽(SiCN)、碳氮 氧化矽(SiOCN)、其組合或類似者,並可藉由熱氧化、沉積、其組合或類似者,以形成閘極間隔件80的絕緣材料。可由單層絕緣材料或多層絕緣材料形成閘極間隔件80。在一些實施例中,閘極間隔件80各包含多層的碳氮氧化矽,其中每層可具有不同碳氮氧化矽的組成。在一些實施例中,閘極間隔件80各包含置於兩層的氮化矽間之氧化矽層。可形成其他間隔件結構。絕緣材料的蝕刻可為非等向性的。舉例而言,蝕刻製程可為諸如反應離子蝕刻、中性束蝕刻或類似者之乾式蝕刻。在蝕刻之後,閘極間隔件80可具有直的側壁或彎曲的側壁。
在形成閘極間隔件80之前,可進行用於輕度摻雜源極/汲極(lightly doped source/drain,LDD)區之植入。在具有不同元件類型之實施例中,與前文所論述之植入類似,在暴露p型區50P時,可在n型區50N之上形成遮罩(諸如光阻劑),並可將適當類型的(例如,p型)雜質植入至p型區50P中所暴露之奈米結構56及鰭狀結構54中。接著可去除遮罩。隨後,在暴露n型區50N時,可在p型區50P之上形成遮罩(諸如,光阻劑),並可將適當類型的(例如,n型)雜質植入至n型區50N中所暴露之奈米結構56及鰭狀結構54中。接著可去除遮罩。n型雜質可為前文所論述之n型雜質中之任何雜質,且p型雜質可為前文所論述之p型雜質中之任何雜質。輕度摻雜源極/汲極區可具有在約1015/立方公分至約1019/立方公分的範圍內之濃度的雜質。可將退火用於修復植入損壞並活化 植入的雜質。在植入期間,通道區68保持被虛設閘極74覆蓋,因而通道區68保持實質上沒有在輕度摻雜源極/汲極區中被植入雜質。
應當注意,前文揭露內容總體上描述形成間隔件及輕度摻雜源極/汲極區的製程。可使用其他製程及順序。舉例而言,可使用更少或更多的間隔件、可利用不同順序的步驟(例如,可形成和去除另外的間隔件等)及/或類似者。再者,可使用不同結構及步驟形成n型及p型元件。
在形成閘極間隔件80之後,接著在奈米結構56中形成源極/汲極凹槽82。在例示的實施例中,源極/汲極凹陷82延伸通過奈米結構56以暴露鰭狀結構54。源極/汲極凹陷82亦可延伸至基材50及/或鰭狀結構54中。換言之,如第6A圖所示,可僅在奈米結構56中形成源極/汲極凹槽82,或如第6B圖所示,亦可將源極/汲極凹槽82形成為延伸至鰭狀結構54中。在各種實施例中,源極/汲極凹槽82可延伸至基材50的頂部表面,而無需蝕刻基材50;,可蝕刻基材50,使得源極/汲極凹槽82的底部表面位於淺溝槽隔離區60的頂部表面下方;或類似者。使用非等向性蝕刻製程,諸如反應離子蝕刻、中性束蝕刻或類似製程,藉由蝕刻奈米結構56,以形成源極/汲極凹槽82。在用於形成源極/汲極凹槽82之蝕刻製程期間,閘極間隔件80及遮罩76共同地遮蔽部分的奈米結構56、鰭狀結構54及基材50。可使用單一蝕刻製程以蝕刻每一奈米結構56。在其他實施例中,可使用多個蝕刻製程以蝕刻 奈米結構56。在源極/汲極凹陷82達到所想要的深度D1之後,可使用定時蝕刻製程以停止源極/汲極凹陷82的蝕刻製程。深度D1可在約40奈米至約140奈米的範圍內。
可選擇地在第一奈米結構56A的其餘部分的側壁(例如,被源極/汲極凹陷82暴露的該等側壁)上形成內部間隔件84。如後文將更詳細地論述,隨後將在源極/汲極凹槽82中形成源極/汲極區,且隨後將採用相應的閘極結構替換第一奈米結構56A。內部間隔件84充當隨後形成的源極/汲極區及隨後形成的閘極結構間之隔離特徵。再者,可將內部間隔件84用於防止因為後續蝕刻製程,諸如用於隨後形成閘極結構的蝕刻製程,對隨後形成的源極/汲極區的損壞。
作為形成內部間隔件84的範例,可擴展源極/汲極凹槽82。具體而言,可凹陷藉由源極/汲極凹槽82所暴露之第一奈米結構56A的部分的側壁。儘管將第一奈米結構56A的側壁例示為直的形狀,但側壁可為凹入狀或凸起狀。可藉由可接受的蝕刻製程,諸如對第一奈米結構56A具有選擇性之製程(例如,以比第二奈米結構56B及鰭狀結構54的材料(等)更快的速率,選擇性地蝕刻第一奈米結構56A的材料),凹陷側壁。蝕刻製程可為等向性製程。舉例而言,當鰭狀結構54及第二奈米結構56B由矽形成且第一奈米結構56A由矽鍺形成時,蝕刻製程可為使用氫氧化四甲基銨(TMAH)、氫氧化銨(NH4OH)或類似者之濕式蝕刻。在另一實施例中,蝕刻製程可為使用諸如氟化 氫(HF)之氟基氣體之乾式蝕刻。在一些實施例中,可連續地進行相同蝕刻製程以既形成源極/汲極凹槽82又凹陷第一奈米結構56A的側壁。接著可藉由共形地形成絕緣材料並隨後蝕刻絕緣材料,以形成內部間隔件84。儘管可利用任何合適的材料,諸如,具有小於約3.5之介電常數(k)之低介電常數(low-k)材料,絕緣材料可為諸如氮化矽或氮氧化矽之材料。可藉由共形沉積製程,諸如原子層沉積、化學氣相沉積或類似製程,以沉積絕緣材料。絕緣材料的蝕刻可為非等向性。舉例而言,蝕刻製程可為諸如反應離子蝕刻、中性束蝕刻、或類似者之乾式蝕刻。儘管將內部間隔件84的外側壁例示為與閘極間隔件80的側壁齊平,但內部間隔件84的外側壁可延伸超過閘極間隔件80的側壁或從閘極間隔件80的側壁凹陷。換言之,內部間隔件84可部分地填充、完全填充或過度填充側壁凹陷。此外,儘管將內部間隔件84的側壁例示為直的形狀,但內部間隔件84的側壁可為凹入狀或凸起狀。
在第7A圖至第7B圖中,在源極/汲極凹槽82中形成磊晶源極/汲極區92。在源極/汲極凹槽82中形成磊晶源極/汲極區92,使得各虛設閘極74置於各自相鄰的兩個磊晶源極/汲極區92之間。在一些實施例中,將閘極間隔件80用於以適當的橫向距離分離磊晶源極/汲極區92與虛設閘極74及第一奈米結構56A,因而磊晶源極/汲極區92不致與隨後形成的奈米結構場效電晶體的閘極短路。可形成磊晶源極/汲極區92接觸內部間隔件84(若存在), 並可延伸超過第二奈米結構56B的側壁。磊晶源極/汲極區92可在第二奈米結構56B上施加應力,從而提高性能。
可藉由遮蔽p型區50P,以形成n型區50N中之磊晶源極/汲極區92。接著,在n型區50N中之源極/汲極凹槽82中,磊晶成長磊晶源極/汲極區92。磊晶源極/汲極區92可包含任何適用於n型奈米結構場效電晶體之可接受的材料。舉例而言,在n型區50N中之磊晶源極/汲極區92可包含在通道區68上施加拉伸應變之材料,例如矽、碳化矽、氟化磷碳化物矽(phosphorous doped silicon carbide)、磷化矽或類似者。n型區50N中之磊晶源極/汲極區92可具有從第二奈米結構56B及鰭狀結構54的各自表面凸出之表面,並可具有刻面(facets)。
可藉由遮蔽n型區50N,以形成在n型區50P中之磊晶源極/汲極區92。接著,在p型區50P中之源極/汲極凹陷82中,磊晶地成長磊晶源極/汲極區92。磊晶源極/汲極區92可包含任何適用於p型奈米結構場效電晶體之可接受的材料。舉例而言,在p型區50P中之磊晶源極/汲極區92可包含在通道區68上施加拉伸應變之材料,諸如矽鍺、硼摻雜矽鍺(boron doped silicon germanium)、鍺、鍺鍚(GeSn)或類似者。p型區50P中之磊晶源極/汲極區92可具有從第二奈米結構56B及鰭狀結構54的各自表面凸出之表面,並可具有刻面。
與前文所論述之用於形成輕度摻雜源極/汲極區之製程類似,可採用摻雜劑植入磊晶源極/汲極區92、第二 奈米結構56B及/或鰭狀結構54,隨後進行退火。源極/汲極區可具有在約1019/立方公分至約1021/立方公分的範圍內之雜質濃度。用於源極/汲極區之n型及/或p型雜質可為前文所論述之任何雜質。在一些實施例中,可在成長期間原位摻雜磊晶源極/汲極區92。
由於用於在形成磊晶源極/汲極區92之磊晶製程,磊晶源極/汲極區92的上表面具有刻面,這些刻面橫向地向外擴展超過第二奈米結構56B及鰭狀結構54的表面。因此,磊晶源極/汲極區92具有大於源極/汲極凹槽82的深度D1之高度H1。舉例而言,高度H1可在約30奈米至約120奈米的範圍內。在一些實施例中,如第7A圖所例示,在完成磊晶製程之後,毗鄰的磊晶源極/汲極區92保持分離。在其他實施例中,這些刻面致使同一奈米結構場效電晶體的相鄰的磊晶源極/汲極區92合併。在第7A圖及第7B圖中所例示之實施例中,調整用於形成閘極隔件80之間隔件蝕刻以去除間隔件材料,以允許磊晶源極/汲極區92延伸至淺溝槽隔離區60的頂部表面。在另一個實施例中,形成閘極間隔件80而覆蓋奈米結構56的側壁在淺溝槽隔離區60上方延伸的部分,從而在淺溝槽隔離區60的頂部表面上阻擋磊晶成長。
磊晶源極/汲極區92可包含一層或更多層的半導體材料層。舉例而言,磊晶源極/汲極區92可包含第一半導體材料層、第二半導體材料層及第三半導體材料層。可將任何數量的半導體材料層用於磊晶源極/汲極區92。可 由不同半導體材料形成每一個第一半導體材料層、每一個第二半導體材料層、每一個第三半導體材料層可由不同半導體材料形成,且/或以不同摻雜劑濃度摻雜這些材料層。在一些實施例中,第一半導體材料層可具有小於第二半導體材料層及大於第三半導體材料層之摻雜劑濃度。在磊晶源極/汲極區92包含三個半導體材料層之實施例中,可從鰭狀結構54成長第一半導體材料層,可從第一半導體層成長第二半導體材料層,且可從第二半導體材料層成長第三半導體材料層。
在第8A圖及第8B圖中,在磊晶源極/汲極區92及淺溝槽隔離區60上方形成第一層間介電質(interlayer dielectric,ILD)96。可由介電材料形成第一層間介電質96。介電材料可包含諸如氧化矽、磷矽玻璃(phosphosilicate glass,PSG)、硼矽玻璃(borosilicate glass,BSG)、摻硼磷矽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜矽酸鹽玻璃(undoped silicate Glass,USG)或類似者之氧化物;諸如氮化矽之氮化物;或類似者。可使用其他絕緣材料。
可藉由在磊晶源極/汲極區92、閘極間隔件80、遮罩76(若存在)或虛設閘極74及淺溝槽隔離區60之上沉積介電材料,隨後平坦化介電材料以形成第一層間介電質96。沉積可為藉由任何合適的方法,諸如化學氣相沉積、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)或可流動化學氣相沉積。亦可使用其他可接受的製程以形成介電材料。平坦化可為藉由任何合適的方法,諸如化學機械研磨、回蝕製程、其組合或類似者。平坦化製程使第一層間介電質96的頂部表面與遮罩76或虛設閘極74的頂部表面齊平。平坦化製程亦可去除虛擬設閘極74上之遮罩76,及沿著遮罩76的側壁之部分的閘極間隔件80。在平坦化製程之後,第一層間介電質96、閘極間隔件80及遮罩76(若存在)或虛設閘極74的頂部表面(在製程變量之內)共平面。據此,通過第一層間介電質96暴露遮罩76(若存在)或虛設閘極74的頂部表面。在一些實施例中,保留遮罩76,且平坦化製程使第一層間介電質96的頂部表面與遮罩76的頂部表面齊平。
在一些實施例中,接觸蝕刻停止層(contactetch stop layer,CESL)94置於第一層間介電質96與磊晶源極/汲極區92、閘極間隔件80及淺溝槽隔離區60間。接觸蝕刻停止層94可包含諸如,氮化矽、氧化矽、氮氧化矽或類似者之介電材料,此介電材料具有相對於第一層間介電質96的蝕刻之高蝕刻選擇性。
在第9A圖及第9B圖中,在蝕刻製程中去除遮罩76(若存在)及虛設閘極74,因而形成凹槽98。亦可去除在凹槽98中之部分的虛設介電質72。在一些實施例中,藉由非等向性乾式蝕刻製程去除虛設閘極74。舉例而言,蝕刻製程可包含使用反應氣體(等)之乾式蝕刻製程,此反 應氣體以比第一層間介電質96或閘極間隔件80更快的速率選擇性地蝕刻虛設閘極74。在去除(過程期間,當蝕刻虛擬閘極74時,可將虛設介電質72用作蝕刻停止層。在去除虛設閘極74之後,可接著去除虛設介電質72。每個凹槽98暴露及/或覆蓋第二奈米結構56B中之部分的通道區68。充當通道區68第二奈米結構56B之部分置於相鄰的兩個磊晶源極/汲極區92之間。
接著去除第一奈米結構56A的其餘部分以擴展凹槽98。可藉由可接受的蝕刻製程去除第一奈米結構56A的其餘部分,此蝕刻製程以比第二奈米結構56B、鰭狀結構54及淺溝槽隔離區60的材料更快的速率選擇性地蝕刻第一奈米結構56A的材料。蝕刻製程可為等向性製程。舉例而言,當鰭狀結構54及第二奈米結構56B由矽形成且第一奈米結構56A由矽鍺形成時,蝕刻製程可為使用氫氧化四甲基銨(TMAH)、氫氧化銨(NH4OH)或類似者之濕式蝕刻。
可選擇地修整(trim)第二奈米結構56B及鰭狀結構54的暴露部分。修整將第二奈米結構56B的暴露部分的厚度,從第二厚度T2(參照第2圖前文所論述)減少至第三厚度T3,第三厚度T3在約3奈米至約8奈米的範圍內,且第三厚度T3比第二厚度T2少約40%至約70%。可在形成凹槽98的同時進行修整,或可在形成凹槽98之後進行修整。舉例而言,第二奈米結構56B及鰭狀結構54的暴露部分可藉由可接受的蝕刻製程修整,此蝕刻製程以比 第一奈米結構56A、內部間隔件84及閘極隔離件80的材料更快的速率選擇性地蝕刻第二奈米結構56B及鰭狀結構54的材料(等)。蝕刻製程可為等向性製程。舉例而言,當鰭狀結構54及第二奈米結構56B由矽形成且第一奈米結構56A由矽鍺形成時,蝕刻製程可為使用稀氫氧化銨-過氧化氫混合物(ammonium hydroxide-hydrogen peroxide mixture,APM)、硫酸過氧化氫混合物(sulfuric acid-hydrogen peroxide SPM)或類似者之濕式蝕刻。
在第10A圖及第10B圖中,針對替換閘極形成閘極介電質102及閘極電極104。在凹槽98中,諸如在鰭狀結構54的頂部表面及側壁上及在第二奈米結構56B的頂部表面、側壁及底部表面上,共形地沉積閘極介電質102。亦可在第一層間介電質96、閘極間隔件80及淺溝槽隔離區60的頂部表面上沉積閘極介電質102。閘極介電質102包含一層或更多層的介電層,諸如氧化物、金屬氧化物、金屬矽化物、類似者或其組合。在一些實施例中,閘極介電質102包含氧化矽、氮化矽或多層的氧化矽或氮化矽。在一些實施例中,閘極介電質102包含高介電常數介電材料,且在此等實施例中,閘極介電質102可具有大於約7.0之介電常數,且可包含金屬氧化物或鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)、鎂(Mg)、鋇(Ba)、鈦(Ti)、鉛(Pb)的矽酸鹽及其組合。閘極介電質102可為多層的。舉例而言,在一些實施例中,閘極介電質102可各包含藉由熱或化學 氧化所形成之氧化矽的界面層及在界面層之上之金屬氧化物層。閘極介電質102的形成方法可包含分子束沉積(molecular-beam deposition,MBD)、原子層沉積、電漿增強化學氣相沉積及類似方法。
在閘極介電質102之上,分別沉積閘極電極104,且閘極電極104填充凹槽98的其餘部分。閘極電極104可包含諸如氮化鈦(TiN)、氧化鈦(TiO)、氮化鑭(LaN)、碳化鑭(LaC)、鈷(Co)、釕(Ru)、鋁(Al)、鎢(W)、其組合或其多層之含金屬材料。舉例而言,儘管例示單一層閘極電極104,但閘極電極104可包含任意數量的襯墊層、任意數量的功函數微調層及填充材料。可在各第二奈米結構56B間及鰭狀結構54與第二奈米結構56B間之區域中,沉積構成閘極電極104之層的任何組合。閘極電極104的形成方法可包含原子層沉積、電漿增強化學氣相沉積及類似方法。在填充凹槽98之後,可進行平坦化製程,諸如化學機械研磨,以去除閘極介電質102及閘極電極104的材料的多餘部分,這些多餘部分在第一層間介電質96及閘極間隔件80的頂部表面之上。因此,閘極介電質102及閘極電極104的其餘部分形成所得奈米結構場效電晶體的替換閘極。可將閘極介電質102及閘極電極104統稱為閘極結構100或「閘極堆疊」。
在n型區50N及p型區50P中之閘極介電質102的形成可同時發生,使得各區中之閘極介電質102由相同材料形成,且閘極電極104的形成可同時發生,使得各區 中之閘極電極104由相同材料形成。在一些實施例中,各區中之閘極介電質102可由相異製程所形成,使得閘極介電質102可為不同材料,及/或各區中之閘極電極104可由相異製程所形成,使得閘極電極104可為不同材料。當使用相異製程時,可將各種遮罩程序用於遮蔽及暴露適當的區。
在第11A圖及第11B圖中,形成貫穿第一層間介電質96及接觸蝕刻停止層94之源極/汲極觸點開口106。可使用可接受的光微影及蝕刻技術,諸如採用對第一層間介電質96具有選擇性之蝕刻製程(例如,以比接觸蝕刻停止層94的材料更快的速率,蝕刻第一層間介電質96的材料),以在第一層間介電質96中初步形成源極/汲極觸點開口106。舉例而言,可藉由使用氨(NH3)及氟化氫(HF)氣體之乾式蝕刻,以初步形成通過第一層間介電質96之源極/汲極觸點開口106。接著,可使用可接受的光微影及蝕刻技術,諸如採用對接觸蝕刻停止層94具有選擇性之蝕刻製程(例如,以比磊晶源極/汲極區92的材料更快的速率,蝕刻接觸蝕刻停止層94的材料),以將源極/汲極觸點開口106延伸通過接觸蝕刻停止層94。舉例而言,可藉由使用氟基氣體(例如,六氟丁二烯(C4F6))及氫(H2)或氧(O2)氣體之乾式蝕刻,以將源極/汲極觸點開口106延伸通過接觸蝕刻停止層94。接著源極/汲極觸點開口106部分地延伸至磊晶源極/源極/汲極區92中,諸如延伸至磊晶源極/汲極區92的上部分中。舉例而言,可藉由使 用氯(Cl2)氣體、溴化氫(HBr)氣體及氧氣(O2)氣體之乾式蝕刻,以將源極/汲極觸點開口106延伸至磊晶源極/汲極區92的上部分中。
在形成源極/汲極觸點開口106之後,源極/汲極觸點開口106以距離D2,延伸至磊晶源極/汲極區92的上部分中。在一些實施例中,距離D2約為磊晶源極/汲極區92的高度H1的一半。在源極/汲極觸點開口106以所想要的距離D2,延伸至磊晶源極/汲極區92的上部分中之後,可將定時蝕刻製程用於停止源極/汲極觸點開口106的蝕刻。舉例而言,當將上述蝕刻劑用於蝕刻磊晶源極/汲極區92時,可在約50秒至約200秒的範圍內之期間進行蝕刻,此舉能致使源極/汲極觸點開口106以在約15奈米至約60奈米的範圍內之距離D2,延伸至磊晶源極/汲極區92的上部分中。
在源極/汲極觸點開口106中,諸如在磊晶源極/汲極區92中藉由源極/汲極觸點開口106所暴露之部分上,形成金屬半導體合金區108。金屬半導體合金區108可為由金屬矽化物(例如,矽化鈦(TiSi)、矽化鈷(cobalt silicide)、矽化鎳(nickel silicide)等)所形成的矽化物區、由金屬鍺化物(例如,鍺化鈦(titanium germanide)、鍺化鈷(cobalt germanide)、鍺化鎳(nickel germanide)等)所形成的鍺化物區、由金屬矽化物及金屬鍺化物二者所形成的矽鍺化物區或類似者。可藉由在源極/汲極觸點開口106中沉積金屬並接著進行熱退 火製程,以形成金屬半導體合金區108。此金屬可為能與磊晶源極/汲極區92的半導體材料(例如,矽、矽鍺、鍺等)反應以形成低電阻金屬半導體合金,諸如鎳、鈷、鈦、鉭(Ta)、鉑(Pt)、鎢、其他貴金屬、其他耐火金屬、稀土金屬、或其合金之任何金屬。可藉由諸如原子層沉積、化學氣相沉積、物理氣相沉積或類似製程,以沉積金屬。在一個實施例中,金屬半導體合金區108為由鈦矽(titanium-silicon)所形成的矽化物區。在熱退火製程之後,可進行,諸如濕式清洗之類的清洗製程以從源極/汲極觸點開口106,諸如從第一層間介電質96的頂部表面,去除任何殘留金屬。
在所示的實施例中,源極/汲極觸點開口106以自對準圖案化方法形成,因而在第11B圖的橫截面中去除所有的第一層間介電質96。在另一個實施例中,可使用其他圖案化方法,因而一些第一層間介電質96保留在第11B圖的橫截面中。
在第12A圖及第12B圖中,在源極/汲極觸點開口106中形成第一源極/汲極觸點112A。在源極/汲極觸點開口106中形成襯墊,諸如擴散屏障層、黏附層或類似者及導電材料。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似者。藉由共形沉積技術,諸如原子層沉積、化學氣相沉積、物理氣相沉積或類似製程,以沉積襯墊。在一些實施例中,襯墊可包含黏附層,且可處理至少一部分的黏附層以形成擴散屏障層。導電材料可為鎢、鈷、釕、鋁、鎳、 銅、銅合金、銀(Ag)、金(Au)或類似者。可藉由原子層沉積、化學氣相沉積、物理氣相沉積或類似製程沉積導電材料。可進行平坦化製程,諸如化學機械研磨,以從第一層間介電質96的頂部表面去除多餘材料。在源極/汲極觸點開口106中之其餘的襯墊及導電材料,形成第一源極/汲極觸點112A。將第一源極/汲極觸點112A實體地及電性耦合至金屬半導體合金區108。第一源極/汲極觸點112A、閘極電極104、及閘極間隔件80的頂部表面(在製程變量之內)共平面。
在形成第一源極/汲極觸點112A之後,第一源極/汲極觸點112A具有與源極/汲極觸點開口106類似的尺寸。第一源極/汲極觸點112A以距離D2(見第11B圖),延伸至磊晶源極/汲極區92的上部分中,並具有高度H2。高度H2可在約30奈米至約90奈米的範圍內。在距離D2約為高度H1的一半的實施例中(見第7B圖),高度H2約大於高度H1的一半。
在第13A圖及第13B圖中,在第一層間介電質96、閘極電極104及第一源極/汲極觸點112A之上沉積第二層間介電質114。可由選自第一層間介電質96的同一組候選材料中的材料形成第二層間介電質114,且可使用選自用於沉積第一層間介電質96的同一組候選方法中的方法沉積第二層間介電質114。第一層間介電質96及第二層間介電質114可由相同材料形成,或者第一層間介電質96及第二層間介電質114可包含不同材料。在形成第二 層間介電質114之後,可藉由諸如化學機械研磨的方法,平坦化第二層間介電質114。在一些實施例中,在第一層間介電質96及第二層間介電質114間形成蝕刻停止層。蝕刻停止層可包含介電材料,諸如氮化矽、氧化矽、氮氧化矽或類似者,此介電材料具有與第二層間介電質114的材料不同之蝕刻速率。
接著在第二層間介電質114上形成觸點開口116。觸點開口116A的第一子集暴露第一源極/汲極觸點112A,且觸點開口116B的第二子集暴露閘極電極104。可使用可接受的光微影及蝕刻技術形成觸點開口116。
在第14A圖及第14B圖中,形成延伸通過第二層間介電質114之第二源極/汲極觸點112B及閘極觸點118。在觸點開口116中形成襯墊,諸如擴散屏障層、黏附層或類似者及導電材料。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似者。藉由共形沉積技術,諸如原子層沉積、化學氣相沉積、物理氣相沉積或類似製程,以沉積襯墊。在一些實施例中,襯墊可包含黏附層,且可處理至少一部分的黏附層以形成擴散屏障層。導電材料可為鎢、鈷、釕、鋁、鎳、銅、銅合金、銀、金或類似者。可藉由原子層沉積、化學氣相沉積、物理氣相沉積或類似製程,以沉積導電材料。可進行平坦化製程,諸如化學機械研磨,以從第二層間介電質114的頂部表面去除多餘材料。在觸點開口116A中之其餘襯墊及導電材料,形成第二源極/汲極觸點112B。在觸點開口116B中之其餘襯墊及導電材料,形成 閘極觸點118。將第二源極/汲極觸點112B實體地及電性耦合至第一源極/汲極觸點112A、將閘極觸點118實體地及電性耦合至閘極電極104。
可以不同製程形成第二源極/汲極觸點112B及閘極觸點118,或者可以相同製程形成第二源極/汲極觸點112B及閘極觸點118。再者,可在相同橫截面中形成第二源極/汲極觸點112B及閘極觸點118,如第13B圖及第14B圖所示,或可在不同橫截面中形成第二源極/汲極觸點112B及閘極觸點118,如第13A圖及第14A圖所示,此舉可避免觸點短路。
如後文將更詳細地論述,將在基材50之上形成第一互連結構(例如,前側互連結構)。接著去除基材50並採用第二互連結構(例如,背側互連結構)替換基材50。因此,在前側互連結構與背側互連結構間形成主動元件的元件層120。前側及背側互連結構各包含被電性連接至元件層120的奈米結構場效電晶體之導電特徵。電性連接前側互連結構的導電特徵(例如,金屬化圖案,亦被稱為互連)至一個或更多個磊晶源極/汲極區92及閘極電極104的前側,以形成功能電路,諸如邏輯電路、記憶體電路、圖像感應器電路或類似者。電性連接背側互連結構的導電特徵(例如,電源導線)至一個或更多個磊晶源極/汲極區92的背側以提供參考電壓、電源電壓或類似者至功能電路。再者,形成通過元件層120之導電通孔件,而將前側互連結構的一些導電特徵連接至背側互連結構的一些導電特徵。具體而 言,連接背側互連結構的導電特徵(例如,電源導線)至前側互連結構的導電特徵(例如,金屬化圖案),且亦連接背側互連結構的導電特徵至元件層120的一個或更多個磊晶源極/汲極區92。儘管將元件層120描述成具有奈米結構場效電晶體,其他實施例可包含具有不同類型電晶體之元件層120(例如,平面場效電晶體、鰭狀結構場效電晶體、薄膜電晶體(thin film transistor,TFTs或類似者)。
儘管第14B圖例示延伸至各磊晶源極/汲極區92之第二源極/汲極觸點112B,可從磊晶源極/汲極區92省略第二源極/汲極觸點112B。舉例而言,如後文所更詳細地解釋,將磊晶源極/汲極區92P的子集連接至背側互連結構的導電特徵(例如,電源導線)。在例示的實施例中,對於這些特定的磊晶源極/汲極區92P,亦形成第二源極/汲極觸點112B,因而可將電源導線連接至前側互連結構的覆蓋導電特徵。在其他實施例中,對於這些特定的磊晶源極/汲極區92P,可省略第二源極/汲極觸點112B,或者第二源極/汲極觸點112B可為未被電性連接至前側互連結構的覆蓋導電特徵之虛設觸點。
第15圖至第22圖為根據一些實施例,半導體元件的製造中之中間階段的各種視圖。具體而言,例示用於奈米結構場效電晶體之前側及背側互連結構的製造。第15圖、第16圖、第17圖、第18B圖、第19B、第20圖、第21圖及第22圖為沿著第1圖中之參考截面A-A所例示之截面視圖。除圖示一個閘極結構及兩個鰭狀結構外, 第18A圖及第19A圖為圖示與第1圖類似之三維視圖。第18A圖及第19A圖為簡化的三維視圖,且未繪示所對應的第18B圖及第19B圖的所有特徵。第15圖、第16圖、第17圖、第18B圖、第19B、第20圖、第21圖及第22圖可適用於n型區50N及p型區50P二者。在隨附各附圖之正文中描述n型區50N及p型區50P的結構上之差異(若有)。
在第15圖中,在元件層120上(例如,在第二層間介電質114上)形成互連結構122。因為在基材50/元件層120的前側(例如,基材50上形成有元件層120的一側)處形成互連結構122,亦可將互連結構122稱為前側互連結構。
互連結構122可包含被形成在一層或更多層堆疊的介電層126中之一層或更多層的導電特徵124。每個介電層126可包含介電材料,諸如低介電常數介電材料、超低介電常數(extra low-k,ELK)介電材料或類似者。可使用適當的製程,諸如,CVD、原子層沉積、物理氣相沉積、電漿增強化學氣相沉積或類似者,以沉積介電層126。
導電特徵124可包含導電線路及與導電線路層互連之導電通孔件。導電通孔件可延伸通過各自介電層126以在導電線路的層間提供垂直連接。可通過任何可接受的製程,以形成導電特徵124。舉例而言,可通過鑲嵌製程,諸如單鑲嵌製程、雙重鑲嵌製程或類似製程,以形成導電特徵124。在鑲嵌製程中,利用光微影製程及蝕刻技術的 組合,以圖案化各自介電層126以形成對應至導電特徵124的所想要的圖案之溝槽。可沉積備選的擴散屏障及/或備選的黏附層,且接著可採用導電材料填充溝槽。適用於屏障層之材料包含鈦、氮化鈦、氧化鈦、鉭、氮化鉭、或其他替代材料,及適用於導電材料的合適材料包含銅、銀、金、鎢、鋁、其組合或類似者。在一個實施例中,可藉由沉積銅或銅合金的晶種層,以形成導電特徵124,並藉由電鍍填充溝槽。可使用化學機械平坦化(chemical mechanical planarization,CMP)製程或類似製程從各自介電層126的表面去除多餘導電材料,並平坦化供後續處理之表面。
在例示的範例中,例示五層的導電特徵124及介電層126。然而,應當理解,互連結構122可包含置於任意數量的介電層中之任意數量的導電特徵。將互連結構122的導電特徵124電性連接至閘極觸點118及第二源極/汲極觸點112B以形成功能電路。在一些實施例中,藉由互連結構122所形成之功能電路可包含邏輯電路、記憶體電路、圖像感應器電路或類似者。亦可將第二層間介電質114、第二源極/汲極觸點112B及閘極觸點118視為互連結構122的一部分,諸如互連結構122的第一層導電特徵的一部分。
接著在第16圖中,藉由結合層132A、132B(統稱為結合層132)將載體基材130結合至互連結構122的頂部表面。載體基材130可為玻璃載體基材、陶瓷載體基 材、半導體基材(例如,矽基材)、晶圓(例如,矽晶圓)或類似者。載體基材130可在後續處理期間及完成的元件中提供結構支撐。載體基材130實質上未具有任何主動或被動元件。
在各種實施例中,可使用諸如介電質對介電質結合(dielectric-to-dielectric bonding)或類似者之合適的技術,以將載體基材130結合至互連結構122。介電質對介電質結合(製程)可包含在互連結構122及載體基材130上分別沉積結合層132A、132B。在一些實施例中,結合層132A包含藉由化學氣相沉積、原子層沉積、物理氣相沉積或類似製程所沉積之氧化矽(例如,高密度電漿(high density plasma,HDP)氧化物或類似者)。結合層132B同樣可為在(使用,舉例而言,化學氣相沉積、原子層沉積、物理氣相沉積、熱氧化或類似者)結合之前所形成之氧化物層。亦可將其他合適的材料用於結合層132A、132B。
介電質對介電質結合製程可更包含對一層或更多層的結合層132應用表面處理。表面處理可包含電漿處理。可在真空環境中進行電漿處理。在電漿處理之後,表面處理可更包含可被應用於一層或更多層的結合層132之清洗製程(例如,採用去離子水或類似者潤濕(rinse))。接著將載體基材130與互連結構122對準,並將二者相互壓緊以啟動載體基材130至互連結構122的預結合(pre-bonding)。可在室溫下(例如,在約攝氏20度至約 攝氏25度的範圍內)進行預結合。在預結合之後,可藉由舉例而言,將互連結構122及載體基材130加熱至約攝氏170度的溫度,以應用退火製程。
在第17圖中,翻轉中間結構,因而基材50的背側朝上。基材50的背側指代與在基材50上形成元件層120之前側相對之一側。接著薄化基材50以去除基材50的背側部分。薄化製程可包含平坦化製程(例如,機械磨光(mechanical grinding)、化學機械研磨或類似製程)、回蝕製程、其組合或類似製程。薄化製程暴露淺溝槽隔離區60及在元件層120的背側處之鰭狀結構54的表面。
在元件層120的背側之上沉積介電層128,諸如在鰭狀結構54及淺溝槽隔離區60之上。介電層128為被形成在元件層120上之互連結構的一部分。介電層128可實體地接觸鰭狀結構54及淺溝槽隔離區60的其餘部分的表面。可由選自第一層間介電質96的同一組候選材料中的材料形成介電層128,且可使用選自用於沉積第一層間介電質96的同一組候選方法中的方法沉積介電層128。可由相同材料形成第一層間介電質96及介電層128,或者第一層間介電質96及介電層128可包含不同材料。
在第18A圖及第18B圖中,在介電層128之上形成遮罩134。遮罩134可由光阻劑(諸如單層光阻劑、雙層光阻劑、三層光阻劑或類似者)形成。在一些實施例中,遮罩134為三層遮罩,三層遮罩包含底層(例如,底部抗反射膜(bottom anti-reflective coating,BARC) 層)、中間層(例如,氮化物、氧化物、氧氮化物或類似者)及頂層(例如,光阻劑)。所使用之遮罩的類型(例如,單層遮罩、雙層遮罩、三層遮罩,等)可取決於被用於隨後圖案化遮罩134之光微影製程。舉例而言,在極紫外(extreme ultraviolet,EUV)微影製程中,遮罩134可為單層遮罩或雙層遮罩。可藉由旋轉塗佈,諸如化學氣相沉積的沉積製程、其組合或類似者形成遮罩134。可使用可接受的光微影技術圖案化遮罩134,以形成開口,這些開口具有隨後形成的源極/汲極觸點的圖案。
形成通過介電層128、鰭狀結構54、磊晶源極/汲極區92P及金屬半導體合金區108之源極/汲極觸點開口136。可使用可接受的光微影及蝕刻技術,諸如採用對介電層128具有選擇性之蝕刻製程(例如,以比鰭狀結構54的材料更快的速率,蝕刻介電層128的材料),使用遮罩134作為蝕刻遮罩,以在介電層128內初步形成源極/汲極觸點開口136。舉例而言,可藉由使用氨(NH3)及氟化氫(HF)氣體之乾式蝕刻,以初步形成通過介電層128之源極/汲極觸點開口136。接著,可使用可接受的光微影及蝕刻技術,諸如採用對鰭狀結構54具有選擇性之蝕刻製程(例如,以比磊晶源極/汲極區92的材料更快的速率,蝕刻鰭狀結構54的材料),以將源極/汲極觸點開口136延伸通過鰭狀結構54。舉例而言,可藉由使用諸如氟化氫(HF)之氟基氣體之乾式蝕刻,以將源極/汲極觸點開口136延伸通過鰭狀結構54。接著將源極/汲極觸點開口 136延伸通過磊晶源極/汲極區92P及金屬半導體合金區108,因而源極/汲極觸點開口136延伸至磊晶源極/汲極區92P的下部分中並暴露第一源極/汲極觸點112A。舉例而言,可藉由使用氯(Cl2)氣體、溴化氫(HBr)氣體及氧氣(O2)氣體之乾式蝕刻,以將源極/汲極觸點開口136延伸至磊晶源極/汲極區92及金屬半導體合金區108中。在例示的實施例中,當圖案化源極/汲極觸點開口136時,實質上不發生第一源極/汲極觸點112A的蝕刻。在另一個實施例中,源極/汲極觸點開口136亦可延伸至第一源極/汲極觸點112A中。
可在圖案化源極/汲極觸點開口136的期間或之後去除遮罩134。舉例而言,可藉由被用於圖案化介電層128、鰭狀結構54、磊晶源極/汲極區92P或金屬半導體合金區108之蝕刻製程,以去除遮罩134。在另一實施例中,在圖案化源極/汲極觸點開口136之後,諸如藉由可接受的灰化製程,以去除遮罩134。
在形成源極/汲極觸點開口136之後,源極/汲極觸點開口136以距離D3,延伸至磊晶源極/汲極區92P的下部分中。在一些實施例中,距離D3約為磊晶源極/汲極區92P的高度H1(見第7B圖)的一半。距離D2(見第11B圖)與D3的和等於高度H1。換言之,距離D2及D3可相等。在另一實施例中,距離D2及D3為相異;舉例而言,距離D2可大於或小於距離D3。在源極/汲極觸點開口136以所想要的距離D3,延伸至磊晶源極/汲極區92P的下部 分中之後,可使用定時蝕刻製程停止源極/汲極觸點開口136的蝕刻。舉例而言,當使用上述蝕刻劑蝕刻磊晶源極/汲極區92P時,可在約50秒至約200秒的範圍內之期間進行蝕刻,此舉能致使源極/汲極觸點開口136以在約15奈米至約60奈米的範圍內之距離D3,延伸至磊晶源極/汲極區92P的下部分中。
在第19A圖及第19B圖中,在源極/汲極觸點開口136中,諸如在磊晶源極/汲極區92P藉由源極/汲極觸點開口136所暴露之部分上,形成金屬半導體合金區138。金屬半導體合金區138可為由金屬矽化物(例如,矽化鈦、矽化鈷、矽化鎳,等)所形成的矽化物區、由金屬鍺化物(例如,鍺化鈦、鍺化鈷、鍺化鎳,等)所形成的鍺化物區、由金屬矽化物及金屬鍺化物二者所形成的矽鍺化物區或類似者。可藉由在源極/汲極觸點開口136中沉積金屬並接著進行熱退火製程,以形成金屬半導體合金區138。此金屬可為能與磊晶源極/汲極區92P的半導體材料(例如,矽、矽鍺、鍺等)反應以形成低電阻金屬半導體合金,諸如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或其合金之任何金屬。可藉由諸如原子層沉積、化學氣相沉積、物理氣相沉積或類似製程,以沉積金屬。在一個實施例中,金屬半導體合金區138為由鈦矽所形成的矽化物區。在熱退火製程之後,可進行諸如濕式清洗之清洗製程以從源極/汲極觸點開口136,諸如從第一源極/汲極觸點112A及淺溝槽隔離區60的表面,去除任何殘留金屬。 儘管金屬半導體合金區108、138被圖示為分離的區,但應當理解,在一些實施例中,可在形成期間合併金屬半導體合金區108、138,因而在金屬半導體合金區108、138間不存在可區別的界面。
在源極/汲極觸點開口136中形成第三源極/汲極觸點112C。在源極/汲極觸點開口136中形成襯墊,諸如擴散屏障層、黏附層或類似者及導電材料。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似者。藉由共形沉積技術,諸如原子層沉積、化學氣相沉積、物理氣相沉積、或類似製程,以沉積襯墊。在一些實施例中,襯墊可包含黏附層,且可處理至少一部分的黏附層以形成擴散屏障層。導電材料可為鎢、鈷、釕、鋁、鎳、銅、銅合金、銀、金或類似者。可藉由原子層沉積、化學氣相沉積、物理氣相沉積或類似製程,以沉積導電材料。可進行平坦化製程,諸如磨化學機械研磨,以從介電層128的頂部表面去除多餘材料。在源極/汲極觸點開口136中之其餘的襯墊及導電材料,形成第三源極/汲極觸點112C。將第三源極/汲極觸點112C實體地並電性耦合至第一源極/汲極觸點112A。第三源極/汲極觸點112C及介電層128的頂部表面(在製程變量之內)共平面。
在第三源極/汲極觸點112C形成之後,第三源極/汲極觸點112C具有與源極/汲極觸點開口136類似的尺寸。第三源極/汲極觸點112C以距離D3(見第18B圖),延伸至磊晶源極/汲極區92的下部分中,並具有高度H3。 高度H3可在約25奈米至約70奈米的範圍內。在距離D3約為高度H1的一半的實施例中(見第7B圖),高度H3約大於高度H1的一半。
在第20圖中,在介電層128及第三源極/汲極觸點112C之上形成介電層142及導電特徵144。介電層142及導電特徵144亦為被形成在元件層120上之互連結構的一部分。可由選自第一層間介電質96的同一組候選材料中的材料形成介電層142,且可使用選自用於沉積第一層間介電質96的同一組候選方法中的方法沉積介電層128。可由相同材料形成第一層間介電質96及介電層142,或者第一層間介電質96及介電層142可包含不同材料。
在介電層142中形成導電特徵144,且導電特徵144可為導電線路。舉例而言,形成導電特徵144(之製程)可包含,使用光微影製程及蝕刻製程的組合,在介電層142中圖案化凹槽。介電層142中之凹槽的圖案可對應至導電特徵144的圖案。接著藉由在凹槽中沉積導電材料,以形成導電特徵144。在一些實施例中,導電特徵144包含金屬層,此金屬層可為單一層或包含複數個由不同材料所形成的子層之複合層。在一些實施例中,導電特徵144包含銅、鋁、鈷、鎢、鈦、鉭、釕或類似者。在採用導電材料填充凹槽之前,可沉積備選的擴散屏障及/或備選的黏附層。適合用於屏障層/黏附層之材料包含鈦、氮化鈦、氧化鈦、鉭、氮化鉭、或類似者。可使用,舉例而言,化學氣相沉積、原子層沉積、物理氣相沉積、電鍍或類似製程形 成導電特徵144。通過第三源極/汲極觸點112C及金屬半導體合金區138,將導電特徵144電性連接至磊晶源極/汲極區92P。可進行平坦化製程(例如,化學機械研磨、磨光、回蝕或類似者)以去除被形成在介電層142之上之導電特徵144的多餘部分。
一些或全部的導電特徵144為電源導線144P,這些電源導線為將磊晶源極/汲極區92P電性連接至參考電壓、電源電壓或類似者之導電線路。藉由將電源導線144P放置在元件層120的背側(而非在元件層120的的前側)可實現優點。舉例而言,可增加互連結構122的互連密度及/或奈米結構場效電晶體的閘極密度。再者,元件層120的背側可容納更寬的電源導線,而減少電阻並增加對奈米結構場效電晶體供電的效率。舉例而言,導電特徵144的寬度可為互連結構122的第一層導電線路(例如,第15圖中之導電線路124A)的寬度的至少兩倍。
第一源極/汲極觸點112A及第三源極/汲極觸點112C形成導電通孔件148,可將這些導電通孔件稱為電源導線通孔件。每個電源導線通孔件包含第一源極/汲極觸點112A及第三源極/汲極觸點112C。導電通孔件148的總高度等於高度H2(見第12B圖)與H3(見第19B圖)的和。導電通孔件148延伸通過元件層120,並將互連結構122的導電特徵(例如,金屬化圖案)連接至互連結構150的導電特徵(例如,電源導線144P)。因此,可藉由導電通孔件148,將參考電壓、電源電壓等電性連接至磊 晶源極/汲極區92P及互連結構122二者。藉由導電特徵(例如,導電通孔件148)而非藉由半導體特徵(例如,磊晶源極/汲極區92P)將電源導線144P連接至互連結構122可實現優點。舉例而言,導電特徵具有比半導體特徵更低的電阻,而允許改善互連結構122、150間之局部互連的性能。再者,亦將導電通孔件148實體地並電性耦合至想要電源導線連接之磊晶源極/汲極區92P處。在此實施例中,金屬半導體合金區108、138圍繞並被耦合至導電通孔件148延伸通過磊晶源極/汲極區92P的部分。因為導電通孔件148延伸通過磊晶源極/汲極區92P,所以在操作期間可因此避免跨磊晶源極/汲極區92P的磊晶材料之電壓驟降,從而減少奈米結構場效電晶體的寄生電容。最後,可將相同導電特徵用於既將磊晶源極/汲極區92P連接至電源導線144P,並又在互連結構122、150間提供局部互連,因此減少互連結構150中之導電特徵的數量。
在第21圖中,在元件層120的背側處,諸如在介電層142及導電特徵144之上,形成互連結構150的其餘部分。因為在元件層120的背側上形成互連結構150,亦可將互連結構150稱為背側互連結構。互連結構150的其餘部分可與互連結構122類似。舉例而言,背側互連結構150可包含與互連結構122類似的材料,並使用與互連結構122類似的製程形成背側互連結構150。特定而言,互連結構150可包含被形成在堆疊的介電層152中之導電特徵154的堆疊層。導電特徵154可包含佈線線路(例如, 用於與隨後形成的觸點墊片及外部連接器往來之佈線)。導電特徵154可更包含在介電層152中延伸之導電通孔件以在導電線路的堆疊層間提供垂直互連。因此,互連結構150包含介電層128、142、152及導電特徵144、154。
在一些實施例中,可進一步圖案化互連結構150的導電特徵以包含一個或更多個在互連結構150中之諸如,電阻器、電容器、電感器、或類似者之埋置的被動元件。埋置的被動元件可與導電特徵144、154(例如,電源導線144P)整合在一起,以在元件層120的背側處提供電路(例如,電源電路)。
在第22圖中,在互連結構150之上形成鈍化層160、球下金屬層(under bump metallurgy,UBM)162及外部連接器164。鈍化層160可包含諸如聚苯並噁唑(polybenzoxazoles,PBO)、聚醯亞胺、苯並環丁烯(benzocyclobutene,BCB)或類似者之聚合物。或者,鈍化層160可包含諸如氧化矽、氮化矽、碳化矽、氮氧化矽或類似者之無機介電材料。可藉由,舉例而言,化學氣相沉積、物理氣相沉積、原子層沉積或類似製程,以沉積鈍化層160。
形成通過鈍化層160之球下金屬層162至互連結構150中之導電特徵154,且在球下金屬層162上形成外部連接器164。球下金屬層162可包含藉由電鍍製程或類似製程所形成之一層或更多層的銅、鎳、金或類似者。在球下金屬層162上形成外部連接器164(例如,焊球)。 外部連接器164的形成可包含將焊球放置在球下金屬層162的暴露的部分上,且接著回焊焊球。在替代實施例中,外部連接器164的形成包含進行電鍍步驟以在最頂部的導電特徵154之上形成焊接區,且接著回焊焊接區。在另一實施例中,外部連接器164為具有實質垂直的側壁之金屬連接器,諸如微凸塊。可將球下金屬層162及外部連接器164用於提供輸入/輸出連接至其他電性組件,諸如,其他元件裸片、再分佈結構、印刷電路板(printed circuit board,PCB)、主機板或類似者。亦可將球下金屬層162及外部連接器164稱為背側輸入/輸出墊片,這些墊片可提供訊號、參考電壓、電源電壓及/或接地連接至元件層120的奈米結構場效電晶體。
第23A圖至第31圖為根據一些實施例,半導體元件的製造中之中間階段的各種視圖。具體而言,例示用於奈米結構場效電晶體之前側及背側互連結構的製造。除圖示兩個閘極結構及兩個鰭狀結構外,第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖及第30A圖為圖示與第1圖類似之三維視圖,第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖及第31圖為沿著圖1中之參考截面A-A所例示之截面視圖。第23A圖、第24A圖、第25A圖、第26A圖、第27A圖、第28A圖、第29A圖及第30A圖為簡化的三維視圖,且未圖示對應的第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、 第28B圖、第29B圖及第30B圖的所有特徵。第23B圖、第24B圖、第25B圖、第26B圖、第27B圖、第28B圖、第29B圖、第30B圖及第31圖可適用於n型區50N及p型區50P二者。在隨附各附圖之正文中描述n型區50N及p型區50P的結構上之差異(若有)。
在第23A圖及第23B圖中,獲得與參照第10A圖及第10B圖所述之類似的結構。
在第24A圖及第24B圖中,去除一個或更多個閘極結構100且採用介電特徵172替換一個或更多個閘極結構100。在一些實施例中,替換的閘極結構100為在記憶體單元的端部處之閘極結構100,諸如在鰭狀結構54的端部處之閘極結構100。替換的閘極結構100為將被連接至與電源導線毗鄰的磊晶源極/汲極區92P。能採用可接受的光微影及蝕刻技術,以去除所想要的閘極結構100以形成開口。接著在開口中形成介電特徵172。可由介電材料(諸如氧化矽、氮化矽或類似者)形成介電特徵172。可藉由在剩餘的閘極結構100之上沉積介電材料,且隨後平坦化介電材料,因而介電特徵172及其餘的閘極結構100的頂部表面(在製程變量之內)共平面,以形成介電特徵172。因此,介電特徵172圍繞藉由替換的閘極結構100所圍繞之第二奈米結構56B。
在第25A圖及第25B圖中,形成通過第一層間介電質96及接觸蝕刻停止層94之源極/汲極觸點開口106。在此實施例中,亦形成通過介電特徵172、內部間隔件84、 閘極間隔件80及第二奈米結構56B之源極/汲極觸點開口106P的第一子集(例如,將被用於形成電源導線通孔件)以暴露磊晶源極/汲極區92P的側壁。源極/汲極觸點開口106R的第二子集(例如,將不被用於形成電源導線通孔件之開口)並不延伸通過介電特徵172、內部間隔件84、閘極間隔件80或第二奈米結構56B。
可藉由多個光微影及蝕刻技術,以形成源極/汲極觸點開口106R、106P。可使用可接受的光微影及蝕刻技術,諸如採用對第一層間介電質96具有選擇性之蝕刻製程(例如,以比接觸蝕刻停止層94的材料更快的速率,蝕刻第一層間介電質96的材料),以在第一層間介電質96中初步形成源極/汲極觸點開口106R、106P。舉例而言,可藉由使用氨(NH3)及氟化氫(HF)氣體之乾式蝕刻,以初步形成通過第一層間介電質96之源極/汲極觸點開口106R、106P。接著,可使用可接受的光微影及蝕刻技術,諸如採用對接觸蝕刻停止層94具有選擇性之蝕刻製程(例如,以比磊晶源極/汲極區92的材料更快的速率,蝕刻接觸蝕刻停止層94的材料),以將源極/汲極觸點開口106R、106P延伸通過接觸蝕刻停止層94。舉例而言,可藉由使用氟基氣體(例如,六氟丁二烯(C4F6))及氫(H2)或氧(O2)氣體之乾式蝕刻,以將源極/汲極觸點開口106R、106P延伸通過接觸蝕刻停止層94。接著藉由,諸如在源極/汲極觸點開口106R之上形成光阻劑,以遮蔽源極/汲極觸點開口106R。接著,使用可接受的光微影及蝕刻技術,諸 如利用對介電特徵172、內部間隔件84、閘極間隔件80及第二奈米結構56B有選擇性的蝕刻製程(例如,以比磊晶源極/汲極區92的材料更快的速率,蝕刻介電特徵172、內部間隔件84、閘極間隔件80、及第二奈米結構56B),將源極/汲極觸點開口106P延伸通過介電特徵172、內部間隔件84、閘極間隔件80及第二奈米結構56B。舉例而言,可藉由使用氟基氣體(例如,六氟丁二烯(C4F6))及氫(H2)或氧(O2)氣體之乾式蝕刻,以將源極/汲極觸點開口106P延伸通過介電特徵172、內部間隔件84、閘極間隔件80及第二奈米結構56B。如第25A圖所示,源極/汲極觸點開口106P可不延伸至鰭狀結構54中,或如圖25B所示,亦可將源極/汲極觸點開口106P形成為延伸至鰭狀結構54中。
在形成源極/汲極觸點開口106R、106P之後,源極/汲極觸點開口106R、106P部分地延伸至磊晶源極/汲極區92中,諸如以距離D4,延伸至磊晶源極/汲極區92的上部分中。在一些實施例中,距離D4約小於磊晶源極/汲極區92的高度H1(見第7B圖)的一半。在源極/汲極觸點開口106R、106P以所想要的距離D4,延伸至磊晶源極/汲極區92的上部分之後,可使用定時蝕刻製程停止源極/汲極觸點開口106R、106P的蝕刻。舉例而言,當使用上述蝕刻劑蝕刻磊晶源極/汲極區92時,可在約10秒至約40秒的範圍內之期間進行蝕刻,此舉能致使源極/汲極觸點開口136以在約2奈米至約10奈米的範圍內之 距離D4,延伸至磊晶源極/汲極區92P的上部分中。可將源極/汲極觸點開口106R形成至寬度W1,寬度可在約10奈米至約30奈米的範圍內。
在延伸極/汲極觸點開口106P之後,源極/汲極觸點開口106P以距離D5,延伸至中間結構中。在一些實施例中,距離D5大於磊晶源極/汲極區92的高度H1。在源極/汲極觸點開口106P以所想要的距離D5,延伸至中間結構中之後,可使用定時蝕刻製程停止源極/汲極觸點開口106P的蝕刻。舉例而言,當使用上述蝕刻劑蝕刻介電特徵172、內部間隔件84、閘極間隔件80及第二奈米結構56B時,可在約140秒至約400秒的範圍內之期間進行蝕刻,此舉能致使源極/汲極觸點開口136P以在約40奈米至約120奈米的範圍內之距離D5,延伸至中間結構中。亦可將源極/汲極觸點開口106R的上部分(例如,磊晶源極/汲極區92P之上之部分)擴展至寬度W2,寬度可在約10奈米至約50奈米的範圍內,並大於寬度W1。源極/汲極觸點開口106R的下部分(例如,毗鄰磊晶源極/汲極區92P之部分)可形成為寬度W3,寬度可在約10奈米至大約30奈米的範圍內,並小於寬度W2
接著在源極/汲極觸點開口106中,諸如在磊晶源極/汲極區92中藉由源極/汲極觸點開口106所暴露之部分上,形成金屬半導體合金區108。在此實施例中,金屬半導體合金區108沿著磊晶源極/汲極區92P的暴露的側壁延伸。能以與參照第11A圖及第11B圖所述類似的方 式形成金屬半導體合金區108。
在第26A圖及第26B圖中,在源極/汲極觸點開口106中形成第一源極/汲極觸點112A。能以與參照第12A圖及第12B圖所述類似的方式形成第一源極/汲極觸點112A。在此實施例中,第一源極/汲極觸點112AP的子集,諸如被形成在源極/汲極觸點開口106P中之觸點,沿著磊晶源極/汲極區92P的側壁及頂部表面延伸並接觸側壁及頂部表面。
在形成第一源極/汲極觸點112A之後,第一源極/汲極觸點112A具有與源極/汲極觸點開口106類似的尺寸。第一源極/汲極觸點112A以距離D4(見第25B圖)延伸至磊晶源極/汲極區92的上部分中,且第一源極/汲極觸點112A在磊晶源極/汲極區92之上的部分具有在約2奈米至約10奈米的範圍內之高度。
在第27A圖及第27B圖中,在第一層間介電質96、閘極電極104及第一源極/汲極觸點112A之上沉積第二層間介電質114。接著在第二層間介電質114中形成觸點開口116。能以與參照第13A圖及第13B圖所述類似的方式形成第二層間介電質114和觸點開口116。
在第28A圖及第28B圖中,形成延伸通過第二層間介電質114之第二源極/汲極觸點112B及閘極觸點118。能以與參照第14A圖及第14B圖所述類似的方式形成第二源極/汲極觸點112B及閘極觸點118。如前文所註,可在相同橫截面中形成第二源極/汲極觸點112B及閘 極觸點118,如第27B圖及第28B圖所示,或可在不同橫截面中形成第二源極/汲極觸點112B及閘極觸點118,如第27A圖及第28A圖所示。
在第29A圖及第29B圖中,能以與參照第15圖至第17圖所述類似的方式處理中間結構。舉例而言,可形成互連結構122,可翻轉此結構,並可採用介電層128替換基材50。接著形成通過介電層128及鰭狀結構54之源極/汲極觸點開口136。源極/汲極觸點開口136亦可延伸至磊晶源極/汲極區92P的下部分中。能以與參照第18A圖及第18B圖所述類似的方式(例如,藉由使用遮罩134作為蝕刻遮罩)形成源極/汲極觸點開口136。在此實施例中,並未形成通過磊晶源極/源極/汲極區92P之源極/汲極觸點開口136,而源極/汲極觸點開口136僅部分地延伸至磊晶源極/汲極區92P的下部分中。在源極/汲極觸點開口136以所想要的距離D6,延伸至磊晶源極/汲極區92P的下部分中之後,可使用定時蝕刻製程停止源極/汲極觸點開口136的蝕刻。舉例而言,當將參考第18A圖至第18B圖所述之蝕刻劑用於蝕刻磊晶源極/汲極觸點開口136時,可在約90秒至約240秒的範圍內之期間進行蝕刻,此舉能致使源極/汲極觸點開口136以在約2奈米至約10奈米的範圍內之距離D6,延伸至磊晶源極/汲極區92P的下部分中。可將源極/汲極觸點開口136形成至寬度W3,寬度可在約10奈米至約50奈米的範圍內。
在第30A圖及第30B圖中,在源極/汲極觸點開 口136中,諸如在磊晶源極/汲極區92P中藉由源極/汲極觸點開口136所暴露之部分上,形成金屬半導體合金區138。能以與參照第19A圖及第19B圖所述類似的方式形成金屬半導體合金區138。在一些實施例中,金屬半導體合金區138為彎曲的層,將這些彎曲的層實體地耦合至金屬半導體合金區108、沿著磊晶源極/汲極區92P的側壁延伸的部分。儘管金屬半導體合金區108、138被圖示為分離的區,但應當理解,在一些實施例中,可在形成期間合併金屬半導體合金區108、138,因而在金屬半導體合金區108、138間不存在可區別的界面。
接著在源極/汲極觸點開口136中形成第三源極/汲極觸點112C。能以與參照第19A圖及第19B圖所述類似的方式形成第三源極/汲極觸點112C。在此實施例中,第三源極/汲極觸點112C具有在第一源極/汲極觸點112AP上之部分,及延伸至磊晶源極/汲極區92P的下部分中之部分。因此,第三源極/汲極觸點112C沿著磊晶源極/汲極區92P的底部表面延伸,且第三源極/汲極觸點112C接觸磊晶源極/汲極區92P的底部表面。在形成第三源極/汲極觸點112C之後,第三源極/汲極觸點112C具有與源極/汲極觸點開口136類似的尺寸。第一源極/汲極觸點112A及第三源極/汲極觸點112C形成導電通孔件148,可將這些導電通孔件稱為電源導線通孔件。在此實施例中,金屬半導體合金區108、138置於各導電通孔件148及各自磊晶源極/汲極區92P的各頂部表面、側壁及 底部表面間。
在第31圖中,在元件層120的背側處形成互連結構150的其餘部分。能以與參照第20圖及第21圖所述類似的方式形成互連結構150的其餘部分。互連結構150包含電源導線144P,將電源導線144P連接至導電通孔件148。
接著在互連結構150之上形成鈍化層160、球下金屬層162及外部連接器164。能以與參照第22圖所述類似的方式形成鈍化層160、球下金屬層162及外部連接器164。
第32A圖至第40圖為根據一些實施例,半導體元件的製造中之中間階段的各種視圖。具體而言,例示用於奈米結構場效電晶體之前側及背側互連結構的製造。除圖示兩個閘極結構及兩個鰭狀結構外,第32A圖、第33A圖、第34A圖、第35A圖、第36A圖、第37A圖、第38A圖及第39A圖為圖示與第1圖類似之三維視圖。第32B圖、第33B圖、第34B圖、第35B圖、第36B圖、第37B圖、第38B圖、第39B圖及第40圖為沿著第1圖中之參考截面A-A所例示之截面視圖。第32A圖、第33A圖、第34A圖、第35A圖、第36A圖、第37A圖、第38A圖及第39A圖為簡化的三維視圖,且未繪示所對應的第32B圖、第33B圖、第34B圖、第35B圖、第36B圖、第37B圖、第38B圖、第39B圖及第40圖的所有特徵。第32B圖、第33B圖、第34B圖、第35B 圖、第36B圖、第37B圖、第38B圖、第39B圖及第40圖可適用於n型區50N及p型區50P二者。在隨附各附圖之正文中描述n型區50N及p型區50P的結構上之差異(若有)。
在第32A圖及第32B圖中,獲得與參照第24A圖及第24B圖所述之類似的結構。接著形成通過第一層間介電質96及接觸蝕刻停止層94之源極/汲極觸點開口106。可使用可接受的光微影及蝕刻技術,諸如採用對第一層間介電質96具有選擇性之蝕刻製程(例如,以比接觸蝕刻停止層94的材料更快的速率,蝕刻第一層間介電質96的材料),以在第一層間介電質96中初步形成源極/汲極觸點開口106。舉例而言,可藉由(使用氨(NH3)及氟化氫(HF)氣體之)乾式蝕刻,以初步形成通過第一層間介電質96之源極/汲極觸點開口106。接著,可使用可接受的光微影及蝕刻技術,諸如採用對接觸蝕刻停止層94具有選擇性之蝕刻製程(例如,以比磊晶源極/汲極區92的材料更快的速率,蝕刻接觸蝕刻停止層94的材料),以將源極/汲極觸點開口106延伸通過接觸蝕刻停止層94。舉例而言,可藉由使用氟基氣體(例如,六氟丁二烯(C4F6))及氫(H2)或氧(O2)氣體之乾式蝕刻,以將源極/汲極觸點開口106延伸通過接觸蝕刻停止層94。
接著形成通過介電特徵172及第二奈米結構56B之電源導線通孔件開口174。可使用可接受的光微影及蝕刻技術,諸如採用對介電特徵172及第二奈米結構56B具 有選擇性之蝕刻製程(例如,以比磊晶源極/汲極區92的材料更快的速率,蝕刻介電特徵172及第二奈米結構56B的材料),以形成電源導線通孔件開口174。舉例而言,可藉由使用氟基氣體(例如,六氟丁二烯(C4F6))及氫(H2)或氧(O2)氣體之乾式蝕刻,以將電源導線通孔件開口174延伸通過介電特徵172及第二奈米結構56B。如第32A圖所示,電源導線通孔件開口174可不延伸至鰭狀結構54中,或如第32B圖所示,亦可將電源導線通孔件開口174形成為延伸至鰭狀結構54中。
在形成電源導線通孔件開口174之後,電源導線通孔件開口174以距離D7,延伸至中間結構中。在一些實施例中,距離D7大於磊晶源極/汲極區92(見第7B圖)的高度H1。在電源導線通孔件開口174以所想要的距離D7,延伸至中間結構中之後,可使用定時蝕刻製程停止源電源導線通孔件開口174的蝕刻。舉例而言,當使用上述蝕刻劑蝕刻介電特徵172及第二奈米結構56B時,可在約140秒至約400秒的範圍內之期間進行蝕刻,此舉能致使源極/汲極觸點開口136以在約40奈米至約120奈米的範圍內之距離D7,延伸至中間結構中。可將電源導線通孔件開口174形成至寬度W4,寬度可在約10奈米至約30奈米的範圍內。
在第33A圖及第33B圖中,在源極/汲極觸點開口106中形成第一源極/汲極觸點112A。能以與參照第12A圖及第12B圖所述類似的方式形成第一源極/汲極觸 點112A。再者,在電源導線通孔件開口174中形成電源導線觸點176。能以與第一源極/汲極觸點112A類似的方式形成電源導線觸點176。能以相同製程或不同製程形成第一源極/汲極觸點112A及電源導線觸點176。電源導線觸點176沿著磊晶源極/汲極區92P的側壁延伸但與這些側壁實體地分離。電源導線觸點176延伸通過介電特徵172及第二奈米結構56B。
在第34A圖及第34B圖中,在第一層間介電質96、閘極電極104及第一源極/汲極觸點112A及電源導線觸點176之上沉積第二層間介電質114。接著在第二層間介電質114中形成觸點開口116。能以與參照第13A圖及第13B圖所述類似的方式形成第二層間介電質114和觸點開口116。在此實施例中,觸點開口116C的第三子集暴露電源導線觸點176及各自相鄰的第一源極/汲極觸點112A二者。
在第35A圖及第35B圖中,形成延伸通過第二層間介電質114之第二源極/汲極觸點112B、閘極觸點118及共享觸點178。能以與參照第14A圖及第14B圖所述類似的方式形成第二源極/汲極觸點112B及閘極觸點118。能以與第二源極/汲極觸點112B及閘極觸點118類似的方式形成共享觸點178。將各共享觸點178連接至電源導線觸點176及各自毗鄰的第一源極/汲極觸點112A。
在第36A圖及第36B圖中,凹陷共享觸點178 以在共享觸點178之上形成開口180。因此可將共享觸點178的其餘部分視為導電線路。可使用可接受的光微影及蝕刻技術,諸如採用對共享觸點178具有選擇性之回蝕製程(例如,以比第二層間介電質114的材料更快的速率,蝕刻共享觸點178的材料),以凹陷共享觸點178。
在第37A圖及第37B圖中,在開口180中形成介電栓塞182。可由選自介電特徵172的同一組候選材料中的材料形成介電栓塞182,且可使用選自用於沉積介電特徵172的同一組候選方法中的方法沉積介電栓塞182。可由相同材料形成介電特徵172及介電栓塞182,或者介電特徵172及介電栓塞182可包含不同材料。形成介電栓塞182允許共享觸點178在後續處理期間被電性隔離及保護。
在第38A圖及第38B圖中,能以與參照第15圖至第17圖所述類似的方式處理中間結構。舉例而言,可形成互連結構122,可翻轉該結構,並可採用介電層128替換基材50。接著形成通過介電層128及鰭狀結構54之源極/汲極觸點開口136。源極/汲極觸點開口136亦可延伸至磊晶源極/汲極區92P的下部分中。能以與參照第18A圖及第18B圖所述類似的方式(例如,藉由使用遮罩134作為蝕刻遮罩)形成源極/汲極觸點開口136。在此實施例中,並未形成通過磊晶源極/源極/汲極區92P之源極/汲極觸點開口136,而源極/汲極觸點開口136僅部分地延伸至磊晶源極/汲極區92P的下部分中。在源極/汲極觸點開 口136以所想要的距離D8,延伸至磊晶源極/汲極區92P的下部分中之後,可使用定時蝕刻製程停止源極/汲極觸點開口136的蝕刻。舉例而言,當使用參考第18A圖至第18B圖所述之蝕刻劑蝕刻磊晶源極/汲極極觸點開口136時,可在約90秒至約240秒的範圍內之期間進行蝕刻,此舉能致使源極/汲極觸點開口136以在約2奈米至約10奈米的範圍內之距離D8,延伸至磊晶源極/汲極區92P的下部分中。可將源極/汲極觸點開口136形成至寬度W5,寬度可在約10奈米至約50奈米的範圍內。
在第39A圖及第39B圖中,在源極/汲極觸點開口136中,諸如在磊晶源極/汲極區92P中藉由源極/汲極觸點開口136所暴露之部分上,形成金屬半導體合金區138。能以與參照第19A圖及第19B圖所述類似的方式形成金屬半導體合金區138。在一些實施例中,金屬半導體合金區138為彎曲的層。儘管金屬半導體合金區108、138被圖示為分離的區,但應當理解,在一些實施例中,可在形成期間合併金屬半導體合金區108、138,因而在金屬半導體合金區108、138間不存在可區別的界面。
接著在源極/汲極觸點開口136中形成第三源極/汲極觸點112C。能以與參照第19A圖及第19B圖所述類似的方式形成第三源極/汲極觸點112C。在此實施例中,第三源極/汲極觸點112C具有在電源導線觸點176上之部分,及延伸至磊晶源極/汲極區92P的下部分中之部分。因此,第三源極/汲極觸點112C沿著磊晶源極/汲極區 92P的底部表面延伸,且第三源極/汲極觸點112C接觸磊晶源極/汲極區92P的底部表面。在形成第三源極/汲極觸點112C之後,第三源極/汲極觸點112C具有與源極/汲極觸點開口136類似的尺寸。第一源極/汲極觸點112A及電源導線極觸點176形成導電通孔件148,可將這些導電通孔件稱為電源導線通孔件。
在第40圖中,在元件層120的背側處形成互連結構150的其餘部分。能以與參照第20圖及第21圖所述類似的方式形成互連結構150的其餘部分。互連結構150包含電源導線144P,這些電源導線144P連接至導電通孔件148。
接著在互連結構150之上形成鈍化層160、球下金屬層162及外部連接器164。能以與參照第22圖方式類似的方式形成鈍化層160、球下金屬層162及外部連接器164。
實施例可達成優勢。將電源導線144P放置在元件層120的背側(而非元件層120的前側)可允許所得的半導體元件在閘極密度及/或互連密度上之增加。再者,元件層120的背側可容納更寬的電源導線,而減少電阻並增加對半導體元件供電的效率。藉由導電特徵,(例如,導電通孔件148)而非藉由半導體特徵(例如,磊晶源極/汲極區92P)將電源導線144P連接至互連結構122亦可實現優點。具體而言,可改善互連結構122、150間之局部互連的性能。最後,可將相同導電特徵(例如,導電通孔件148)用於既 將磊晶源極/汲極區92P連接至電源導線144P,並又在互連結構122、150間提供局部互連,因此減少互連結構150中之導電特徵的數量。
在一個實施例中,此半導體元件包含:奈米結構、閘極結構、磊晶源極/汲極區、第一介電層、第二介電層及電源導線通孔件。閘極結構圍繞奈米結構。磊晶源極/汲極區毗鄰閘極結構。第一介電層在磊晶源極/汲極區的上部分之上。第二介電層在磊晶源極/汲極區的下部分之下。電源導線通孔件延伸通過第一介電層及第二介電層。電源導線通孔件實體地及電性耦合至磊晶源極/汲極區的上部分與下部分。
在一些實施例中,電源導線通孔件延伸通過磊晶源極/汲極區。
在一些實施例中,電源導線通孔件包含:第一源極/汲極觸點及第二源極/汲極觸點。第一源極/汲極觸點延伸通過第一介電層並延伸至磊晶源極/汲極區的上部分中。第二源極/汲極觸點延伸通過第二介電層並延伸至磊晶源極/汲極區的下部分中。第二源極/汲極觸點實體地並電性耦合至第一源極/汲極觸點。
在一些實施例中,半導體元件更包含金屬半導體合金區,圍繞部分的電源導線通孔件。電源導線通孔件延伸通過磊晶源極/汲極區。
在一些實施例中,電源導線通孔件沿著磊晶源極/汲極區的側壁延伸。
在一些實施例中,電源導線通孔件包含:第一源極/汲極觸點及第二源極/汲極觸點。第一源極/汲極觸點延伸通過第一介電層,並沿著磊晶源極/汲極區的側壁及頂部表面延伸。第二源極/汲極觸點延伸通過第二介電層,並沿著磊晶源極/汲極區的底部表面延伸。
在一些實施例中,半導體元件更包含:金屬半導體合金區,置於電源導線通孔件及磊晶源極/汲極區的各頂部表面、側壁及底部表面間。
在一些實施例中,半導體元件包含:第一互連結構、第二互連結構、元件層及導電通孔件。第一互連結構包含金屬化圖案。第二互連結構包含電源導線。元件層在第一互連結構與第二互連結構間。元件層包含第一電晶體。第一電晶體包含磊晶源極/汲極區。導電通孔件延伸通過元件層。導電通孔件將電源導線連接至金屬化圖案。導電通孔件接觸磊晶源極/汲極區。
在一些實施例中,導電通孔件延伸通過磊晶源極/汲極區。
在元件的一些實施例中,導電通孔件沿著磊晶源極/汲極區的側壁、頂部表面及底部表面延伸並接觸磊晶源極/汲極區的側壁、頂部表面及底部表面。
在一些實施例中,導電通孔沿著磊晶源極/汲極區的底部表面延伸並接觸磊晶源極/汲極區的底部表面,並沿著磊晶源極/汲極區的側壁延伸並與磊晶源極/汲極區的側壁實體地分離。
在一實施例中,製造半導體元件的方法包含:在鰭狀結構之上形成奈米結構。在奈米結構周圍形成閘極結構。毗鄰閘極結構成長磊晶源極/汲極區。在磊晶源極/汲極區上沉積第一介電層。形成第一觸點,第一觸點通過第一介電層以接觸磊晶源極/汲極區。形成第二觸點,第二觸點通過鰭狀結構以接觸磊晶源極/汲極區及第一觸點。
在一些實施例中,形成第一觸點包含:形成第一開口,第一開口延伸通過第一介電層並延伸至磊晶源極/汲極區的上部分中。在第一開口中形成第一觸點。
在一些實施例中,形成第二觸點包含:形成第二開口,第二開口延伸通過鰭狀結構並延伸至磊晶源極/汲極區的下部分中,並暴露鰭狀結構。在第二開口中形成第二觸點。
在一些實施例中,形成第一開口之步驟包含:藉由使用氨及氟化氫氣體之乾式蝕刻,蝕刻第一介電層。藉由使用氯氣、溴化氫、及氧氣之乾式蝕刻,蝕刻磊晶源極/汲極區。
在一些實施例中,第一開口延伸至磊晶源極/汲極區的上部分中之第一距離,第二開口延伸至磊晶源極/汲極區的下部分中之第二距離,第一距離及第二距離各在15奈米至60奈米的範圍內。
在一些實施例中,上述之方法更包含:採用第二介電層替換閘極結構。形成一第一開口,第一開口延伸通過第一介電層與第二介電層,第一開口暴露磊磊晶源極/汲極 區的側壁。在第一開口中形成第一觸點。
在一些實施例中,形成第二觸點之步驟包含:形成第二開口,第二開口延伸通過鰭狀結構,第二開口暴露第一觸點及磊晶源極/汲極區。在第二開口中形成第二觸點。
在一些實施例中,形成第一開口之步驟包含:藉由使用氨及氟化氫氣體之乾式蝕刻,蝕刻第一介電層。藉由使用氟基氣體及氫氣或氧氣之乾式蝕刻,蝕刻第二介電層。
在一些實施例中,第一開口的深度在40奈米至120奈米的範圍內。
前文概述數種實施例的特徵,因而本領域熟習技藝者可更理解本揭露內容的態樣。熟習此項技藝者應當理解,熟習此項技藝者可輕易地使用本揭露內容作為設計或修改其他製程及結構之基礎,以實現本文介紹的實施例的相同目的及/或達成相同優點。熟習此項技藝者亦應當認識到,這些效構造不脫離本揭露內容的精神及範圍,且在不脫離本揭露內容之精神及範圍之情況下,熟習此項技藝者可在此文中進行各種改變、替換及變更。
54:鰭狀結構
92:磊晶源極/汲極區
92P:磊晶源極/汲極區
108:金屬半導體合金區
112A:第一源極/汲極觸點
112B:第二源極/汲極觸點
112C:第三源極/汲極觸點
114:第二層間介電質
118:閘極觸點
120:元件層
122:互連結構
124:導電特徵
124A:導電線路
126:介電層
128:介電層
130:載體基材
132:結合層
138:金屬半導體合金區
142:介電層
144:導電特徵
144P:電源通道
148:導電通孔件
150:互連結構
152:介電層
154:導電特徵
160:鈍化層
162:球下金屬層
164:外部連接器

Claims (10)

  1. 一種半導體元件,包含:一奈米結構;一閘極結構,圍繞該奈米結構;一磊晶源極/汲極區,毗鄰該閘極結構;一第一介電層,在該磊晶源極/汲極區的一上部分之上;一第二介電層,在該磊晶源極/汲極區的一下部分之下;及一電源導線通孔件,延伸通過該第一介電層及該第二介電層,該電源導線通孔件實體地及電性耦合至該磊晶源極/汲極區的該上部分與該下部分。
  2. 如請求項1所述之半導體元件,其中該電源導線通孔件延伸通過該磊晶源極/汲極區。
  3. 如請求項2所述之半導體元件,更包含:一金屬半導體合金區,圍繞部分的該電源導線通孔件,該電源導線通孔件延伸通過該磊晶源極/汲極區。
  4. 如請求項1所述之半導體元件,其中該電源導線通孔件沿著該磊晶源極/汲極區的一側壁延伸。
  5. 一種半導體元件,包含: 一第一互連結構,包含複數個金屬化圖案;一第二互連結構,包含一電源導線;一元件層,在該第一互連結構與該第二互連結構間,該元件層包含一第一電晶體,該第一電晶體包含一磊晶源極/汲極區;及一導電通孔件,延伸通過該元件層,該導電通孔件將該電源導線連接至該金屬化圖案,該導電通孔件接觸該磊晶源極/汲極區。
  6. 如請求項5所述之半導體元件,其中該導電通孔件延伸通過該磊晶源極/汲極區。
  7. 如請求項5所述之半導體元件,其中該導電通孔件沿著該磊晶源極/汲極區的一側壁、一頂部表面及一底部表面延伸並接觸該磊晶源極/汲極區的該側壁、該頂部表面及該底部表面。
  8. 一種製造半導體元件的方法,包含:形成一奈米結構在一鰭狀結構之上;形成一閘極結構在該奈米結構周圍;成長一磊晶磊晶源極/汲極區以毗鄰該閘極結構;沉積一第一介電層在該磊晶源極/汲極區上;形成一第一觸點,該第一觸點通過該第一介電層以接觸該磊晶源極/汲極區;及 形成一第二觸點,該第二觸點通過該鰭狀結構以接觸該磊晶源極/汲極區及該第一觸點。
  9. 如請求項8所述之方法,其中形成該第一觸點之步驟包含:形成一第一開口,該第一開口延伸通過該第一介電層並延伸至該磊晶源極/汲極區的一上部分中;及在該第一開口中形成該第一觸點。
  10. 如請求項8所述之方法,更包含:採用一第二介電層替換該閘極結構;形成一第一開口,該第一開口延伸通過該第一介電層與該第二介電層,該第一開口暴露該磊晶源極/汲極區的一側壁;及在該第一開口中形成該第一觸點。
TW110100770A 2020-04-28 2021-01-08 半導體元件與其製造方法 TWI777359B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063016520P 2020-04-28 2020-04-28
US63/016,520 2020-04-28
US16/998,576 2020-08-20
US16/998,576 US11251308B2 (en) 2020-04-28 2020-08-20 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202141633A TW202141633A (zh) 2021-11-01
TWI777359B true TWI777359B (zh) 2022-09-11

Family

ID=76922387

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110100770A TWI777359B (zh) 2020-04-28 2021-01-08 半導體元件與其製造方法

Country Status (3)

Country Link
US (3) US11251308B2 (zh)
CN (1) CN113178447A (zh)
TW (1) TWI777359B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251308B2 (en) * 2020-04-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11715777B2 (en) * 2020-05-29 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20220010843A (ko) * 2020-07-20 2022-01-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20220359752A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Source/Drain Features With Improved Strain Properties
KR20240018249A (ko) * 2022-08-02 2024-02-13 삼성전자주식회사 집적회로 소자
US20240274679A1 (en) * 2023-02-14 2024-08-15 Samsung Electronics Co., Ltd. Integrated circuit device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209247B2 (en) * 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9412828B2 (en) * 2012-08-24 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9502265B1 (en) * 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9647098B2 (en) * 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US10014390B1 (en) * 2017-10-10 2018-07-03 Globalfoundries Inc. Inner spacer formation for nanosheet field-effect transistors with tall suspensions
TW202006953A (zh) * 2018-07-13 2020-02-01 台灣積體電路製造股份有限公司 半導體結構及其製作方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7868374B2 (en) * 2008-02-21 2011-01-11 International Business Machines Corporation Semitubular metal-oxide-semiconductor field effect transistor
JP5487625B2 (ja) 2009-01-22 2014-05-07 ソニー株式会社 半導体装置
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
CN106548940A (zh) * 2015-09-16 2017-03-29 联华电子股份有限公司 半导体元件及其制作方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10734412B2 (en) * 2016-07-01 2020-08-04 Intel Corporation Backside contact resistance reduction for semiconductor devices with metallization on both sides
US9812580B1 (en) 2016-09-06 2017-11-07 Qualcomm Incorporated Deep trench active device with backside body contact
DE112016007299T5 (de) 2016-09-30 2019-06-19 Intel Corporation Rückseiten-source/drain-austausch für halbleiterbauelemente mit metallisierung auf beiden seiten
US10461164B2 (en) * 2017-05-22 2019-10-29 Qualcomm Incorporated Compound semiconductor field effect transistor with self-aligned gate
US10629679B2 (en) 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
WO2019183099A1 (en) 2018-03-19 2019-09-26 Tokyo Electron Limited Three-dimensional device and method of forming the same
US10374040B1 (en) * 2018-06-12 2019-08-06 Globalfoundries Inc. Method to form low resistance contact
US10748901B2 (en) * 2018-10-22 2020-08-18 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US11264327B2 (en) * 2019-10-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail structure and methods of forming same
US11532556B2 (en) * 2019-12-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for transistors having backside power rails
US11251308B2 (en) * 2020-04-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9412828B2 (en) * 2012-08-24 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) * 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9647098B2 (en) * 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US9502265B1 (en) * 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US10014390B1 (en) * 2017-10-10 2018-07-03 Globalfoundries Inc. Inner spacer formation for nanosheet field-effect transistors with tall suspensions
TW202006953A (zh) * 2018-07-13 2020-02-01 台灣積體電路製造股份有限公司 半導體結構及其製作方法

Also Published As

Publication number Publication date
US11757042B2 (en) 2023-09-12
CN113178447A (zh) 2021-07-27
US20210336063A1 (en) 2021-10-28
US11251308B2 (en) 2022-02-15
US20220165885A1 (en) 2022-05-26
US20230369504A1 (en) 2023-11-16
TW202141633A (zh) 2021-11-01

Similar Documents

Publication Publication Date Title
TWI777359B (zh) 半導體元件與其製造方法
TW202117859A (zh) 半導體裝置
US11810917B2 (en) Self-aligned etch in semiconductor devices
US11664374B2 (en) Backside interconnect structures for semiconductor devices and methods of forming the same
US11799002B2 (en) Semiconductor devices and methods of forming the same
KR102568602B1 (ko) 반도체 디바이스 및 방법
US20240021684A1 (en) Semiconductor devices and methods of forming the same
TWI775278B (zh) 半導體裝置及其製作方法
US11557510B2 (en) Spacers for semiconductor devices including backside power rails
TW202145484A (zh) 半導體裝置
US20230386993A1 (en) Semiconductor Devices Including Decoupling Capacitors
US20240186179A1 (en) Methods of Forming Spacers for Semiconductor Devices Including Backside Power Rails
US20240194559A1 (en) Thermal dissipation in semiconductor devices
TWI782473B (zh) 半導體元件及其製造方法
KR102437248B1 (ko) 반도체 디바이스 및 방법
US11532703B2 (en) Semiconductor device and method
US20230013764A1 (en) Semiconductor Devices Including Backside Capacitors and Methods of Manufacture
US11862561B2 (en) Semiconductor devices with backside routing and method of forming same
US20220328363A1 (en) Dual-Side Power Rail Design and Method of Making Same
US12080713B2 (en) Self-aligned etch in semiconductor devices
US20240096805A1 (en) Semiconductor devices with backside routing and method of forming same
US20240250032A1 (en) Transistor Gate Contacts and Methods of Forming the Same
US20230386971A1 (en) Semiconductor Devices Including Through Vias and Methods of Forming the Same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent