TW202133347A - 鐵電記憶體裝置及其形成方法 - Google Patents

鐵電記憶體裝置及其形成方法 Download PDF

Info

Publication number
TW202133347A
TW202133347A TW110105230A TW110105230A TW202133347A TW 202133347 A TW202133347 A TW 202133347A TW 110105230 A TW110105230 A TW 110105230A TW 110105230 A TW110105230 A TW 110105230A TW 202133347 A TW202133347 A TW 202133347A
Authority
TW
Taiwan
Prior art keywords
ferroelectric
memory device
gate
polarization conversion
substrate
Prior art date
Application number
TW110105230A
Other languages
English (en)
Other versions
TWI798644B (zh
Inventor
楊柏峰
張志宇
漢中 賈
賴昇志
林仲德
世海 楊
林佑明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202133347A publication Critical patent/TW202133347A/zh
Application granted granted Critical
Publication of TWI798644B publication Critical patent/TWI798644B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

本揭露的各種實施例是關於鐵電記憶體裝置。鐵電記憶體裝置包括一對源極/汲極區,設置在基板中。閘極介電質,設置在基板上及源極/汲極區之間。閘極電極,設置在閘極介電質上。極化轉換結構,設置在閘極電極上。一對側壁間隔物,設置在基板上且沿著閘極電極及極化轉換結構之相對側壁設置。

Description

鐵電記憶體裝置及其形成方法
本發明實施例是關於記憶體裝置,特別是關於具有鐵電結構之記憶體裝置及其形成方法。
許多現代的電子裝置都包含非揮發性記憶體。非揮發性記憶體是能夠在沒有電源的情況下儲存數據的電子記憶體。鐵電場效電晶體(ferroelectric field effect transistor,FeFET)是下一世代非揮發性記憶體中有希望的候選,在某些情況下,鐵電場效電晶體也稱為負電容場效電晶體(NCFET)。鐵電場效電晶體具有相對簡單的結構,並且相容於互補式金氧半導體(CMOS)邏輯製造製程。
本發明實施例提供一種鐵電記憶體裝置,包括:一對源極/汲極區,設置在基板中;閘極介電質,設置在基板上及所述源極/汲極區之間;閘極電極,設置在閘極介電質上;極化轉換結構(polarization switching structure),設置在閘極電極上;以及一對側壁間隔物,設置在基板上且沿著閘極電極及極化轉換結構之相對側壁設置。
本發明實施例提供一種積體晶片,包括:邏輯區及記憶體區,整合在基板中;邏輯裝置,設置在邏輯區中且包括設置在基板上的邏輯裝置閘極堆疊;鐵電鰭式場效電晶體記憶體裝置,設置在記憶體區中且包括在基板上的記憶體裝置閘極堆疊及設置在記憶體裝置閘極堆疊上的極化轉換結構;第一自對準接觸(SAC)遮罩,設置在邏輯裝置閘極堆疊及記憶體裝置閘極堆疊上且鄰近極化轉換結構;以及側壁間隔物,沿著記憶體裝置閘極堆疊、極化轉換結構、及第一自對準接觸遮罩的側壁設置。
本發明實施例提供一種鐵電鰭式場效電晶體記憶體裝置的形成方法,包括:形成初始閘極堆疊,包括在基板上方的閘極介電質及在閘極介電質上方的犧牲閘極;形成側壁間隔物於基板上方且沿著初始閘極堆疊的側面;移除犧牲閘極並以金屬閘極替換犧牲閘極;凹入金屬閘極,以形成凹入空間於側壁間隔物的上部之間;形成自對準接觸遮罩於凹入空間中;穿過自對準接觸遮罩形成露出金屬閘極的開口;以及以極化轉換結構填充開口。
以下揭露提供了許多的實施例或範例,用於實施本發明實施例之不同元件。各元件及其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一及第二元件直接接觸的實施例,也可能包含額外的元件形成在第一及第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及/或字母。如此重複是為了簡明及清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
一些鐵電記憶體(例如,鐵電場效電晶體(FeFET))包括鐵電記憶體單元。鐵電記憶體單元包括設置在第一電極與第二電極之間的鐵電結構。鐵電結構可以被積集在金屬線之間的後段(back-end-of-line,BEOL)結構中或可以被積集在閘極電極與基板之間的閘極結構中。鐵電結構被配置為在極化狀態之間轉換以儲存數據(例如,二進位制的「0」及「1」)。鐵電記憶體通常設置在積體晶片(IC)上,其包括其他類型的半導體裝置(例如:金氧半導體場效電晶體(MOSFET)、雙極性接面電晶體(BJT)、高電子遷移率電晶體(HEMT)等等)。
以上的鐵電記憶體面臨的挑戰是製造條件及尺寸限制。積集在後段中的鐵電結構的製造製程受到退火溫度及關鍵尺寸(critical dimension)的限制。形成於閘極電極下方的鐵電結構的尺寸被限制為等於閘極電極面積。鐵電結構的面積對於鐵電記憶體裝置的性能非常重要。舉例而言,鐵電結構面積與閘極電極面積的比例可以為約1:5至約1:10。
鑑於上述,本揭露是關於具有更靈活的極化轉換結構的鐵電記憶體裝置。在一些實施例中,極化轉換結構配置在記憶體裝置的閘極電極上。可以從側壁間隔物的頂部凹入閘極電極,使極化轉換結構可以形成於被側壁間隔物圍繞的閘極開口的上部內。在一些實施例中,自對準接觸(self-aligned contact,SAC)遮罩配置在閘極電極上以提供更接近閘極電極且甚至在閘極電極之頂部上的接觸件定位(contact landing)。可以穿過自對準接觸遮罩設置極化轉換結構。相較於在後段結構中的極化轉換結構,將極化轉換結構配置在閘極電極上或併入自對準接觸遮罩中,可以實現更小的襯墊尺寸(pad size)。此外,相較於將極化轉換結構配置在具有對準的側壁及相同的橫向尺寸的閘極電極下,可以達到更靈活的面積比例調整。另外,由於中段(middle-end-of-line,MEOL)製程、或是形成閘極電極或自對準接觸遮罩的製程可承受較高的退火溫度,因此可以更好地控製鐵電結構的品質。
第1圖繪示鐵電鰭式場效電晶體記憶體裝置100的一些實施例的示意圖。鐵電鰭式場效電晶體記憶體裝置100可以被稱為鐵電場效電晶體(FeFET)。如第1圖所示,基板102可以包括上部,上部包括從平坦的下部隆起的複數個鰭片結構104。基板102可以包括任何類型的半導體基體(semiconductor body)(例如,單晶矽/互補式金氧半導體塊體、矽鍺、絕緣體上覆矽(SOI)等等)。隔離介電層107可以被設置在基板102的平坦下部上,提供基板102隔離功能。隔離介電層107可以包括二氧化矽或其他適用的介電材料。
鰭片結構104分別包括一對源極/汲極區(參見第2圖的106a/106b),在記憶體裝置閘極堆疊120的兩側。源極/汲極區是摻雜區域,其摻雜類型(例如,n型或p型)與基板102的鄰接區域之摻雜類型相反,或基板102的鄰接區域可以是本徵的(intrinsic)。記憶體裝置閘極堆疊120跨在分開此對源極/汲極區中的複數個鰭片結構上。在一些實施例中,記憶體裝置閘極堆疊120可以包括界面介電層105、閘極介電質108、及閘極電極118,其依序設置為包繞個別鰭片結構104的第一側壁、頂表面、及第二側壁。閘極電極118可以具有平坦的頂表面。在一些實施例中,第一自對準接觸(SAC)遮罩126設置在閘極電極118上。一對側壁間隔物設置在隔離介電層107上且沿著記憶體裝置閘極堆疊120及第一自對準接觸遮罩126的側壁。
在一些實施例中,可以穿過到達閘極118上的第一自對準接觸遮罩126來設置極化轉換結構110。極化轉換結構110的頂表面可以與第一自對準接觸遮罩126的頂表面共平面。一些實施例中,極化轉換結構110包括設置在第一導電結構112及第二導電結構116之間的鐵電結構114。在一些實施例中,舉例而言,第一導電結構112可以包括:金屬(例如: Al、Ti、Ta、Au、P、W、Ni、Ir等等)、金屬氮化物(例如: TiN、TaN、等等)、金屬氧化物(例如: IrO2 )、摻雜的多晶矽(例如:n型/p型多晶矽)、或其他導電結構。在進一步的實施例中,舉例而言,鐵電結構114可以包括一層或多層的金屬氧化物(例如:HfX OY )、成分金屬氧化物(component-metal-oxide)(例如: HfX SiY OZ 、HfX AlY OZ 、HfX GdY OZ 、HfX ZrY OZ 、HfX LaY OZ 、HfX SrY OZ 、HfX YY OZ 、鈦酸鍶(STO)等等)、金屬氧氮化物(例如:HfX OY NZ )、或其他材料。在更進一步的實施例中,舉例而言,第二導電結構116可以包括:金屬(例如:Al、Ti、Ta、Au、Pt、W、Ni、Ir等等)、金屬氮化物(例如:TiN、TaN等等)、金屬氧化物(例如:IrO2 )、摻雜的多晶矽(例如:n型/p型多晶矽)、或其他材料。
極化轉換結構110被配置以儲存一位元的數據。舉例而言,極化轉換結構110可以在對應於二進位值「1」的第一極化態(例如,負的殘餘(-Pr)極化態)與對應於二進位值「0」的第二極化態(例如,正的殘餘(+Pr)極化態)之間轉換,反之亦然。在一些實施例中,將正電壓脈衝施加到閘極電極118以切換至第一極化態,將負電壓脈衝施加到閘極電極118以切換至第二極化態,反之亦然。
第2圖繪示鐵電鰭式場效電晶體記憶體裝置的一些實施例的剖面圖。在一些實施例中,第2圖可以是沿著第1圖的X方向的鐵電鰭式場效電晶體記憶體裝置100的一些實施例之剖面圖。與以上討論的類似,一對源極/汲極區106a-b設置在基板102中且分隔開。此對源極/汲極區106a-b可以分別設置在作為基板102之上部的複數個鰭片結構104內。記憶體裝置閘極堆疊120設置在基板102上方及源極/汲極區106a-b之間。記憶體裝置閘極堆疊120可包括設置在基板102上的界面介電層105、閘極介電質108、及閘極電極118。極化轉換結構110設置在閘極電極118上。在一些實施例中,極化轉換結構110包括設置在第一導電結構112與第二導電結構116之間的鐵電結構114。一對側壁間隔物502設置在記憶體裝置閘極堆疊120及極化轉換結構110的兩側。
層間介電質(ILD)結構122設置在基板102及極化轉換結構110上方。層間介電質結構122可以包括一個或多個堆疊的層間介電層,層間介電層可以分別包括低介電常數(low-k)介電質(例如,介電常數小於約3.9的介電材料)、氧化物(例如:SiO2 )、或其他材料等。複數個導電接觸件124設置在層間介電質結構122中。導電接觸件124延伸穿過層間介電質結構122以分別接觸源極/汲極區106a-b及極化轉換結構110。
第3圖繪示鐵電鰭式場效電晶體記憶體裝置的一些實施例的剖面圖。在一些實施例中,第3圖可以是沿著第1圖的Y方向的鐵電鰭式場效電晶體記憶體裝置100的一些實施例之剖面圖。如第3圖所示,基板102可以具有上部,其包括從下部平坦部分隆起的複數個鰭片結構104。隔離介電層107可以設置在基板102的平坦下部上並且在複數個鰭片結構104之間。隔離介電層107的頂表面可以低於複數個鰭片結構104的頂表面。隔離介電層107可以包括二氧化矽或其他適用的介電材料。記憶體裝置閘極堆疊120被設置在隔離介電層107上且跨在複數個鰭片結構104上。記憶體裝置閘極堆疊120可包括接觸複數個鰭片結構104的界面介電層105、設置在界面介電層105上的閘極介電質108、以及設置在閘極介電質108上的閘極電極118。閘極電極118可以具有平坦的頂表面。極化轉換結構110設置在閘極電極118上。在一些實施例中,極化轉換結構110包括設置在第一導電結構112與第二導電結構116之間的鐵電結構114。在一些實施例中,第一自對準接觸(SAC)遮罩126設置在閘極電極118上。且可以穿過到達閘極電極118的第一自對準接觸遮罩126來設置極化轉換結構110。極化轉換結構110的頂表面可以與第一自對準接觸遮罩126的頂表面共平面。層間介電質(ILD)結構122設置在極化轉換結構110及第一自對準接觸遮罩126上。導電接觸件124延伸穿過層間介電質結構122以接觸極化轉換結構110。
第4-10圖繪示出鐵電鰭式場效電晶體堆疊130的一些實施例之剖面圖。如第4圖所示,鐵電場效電晶體堆疊130可包括設置在記憶體裝置閘極堆疊120上的第一導電結構112、設置在第一導電結構112上的鐵電結構114、及設置在鐵電結構114上的第二導電結構116。在一些實施例中,記憶體裝置閘極堆疊120的側壁、第一導電結構112的側壁上、鐵電結構114的側壁、導電結構116的側壁可實質上在一垂直方向對準。在這樣的實施例中,記憶體裝置閘極堆疊120的橫向面積(例如,長度(橫跨第4圖的頁面)乘以寬度(進入/離開第4圖的頁面))、第一導電結構112的面積、鐵電結構114的面積、第二導電結構116的面積實質上是相同的。如第5圖所示,在其他實施例中,鐵電結構114可以為U形,並且第二導電結構116的側壁可以設置在鐵電結構114的側壁之間。在這樣的實施例中,鐵電結構114的頂表面可以與第二導電結構116的頂表面共平面。如第6圖所示,在其他額外的實施例中,第一導電結構112可以為U形,鐵電結構114的側壁可以設置在第一導電結構112的側壁之間,且第二導電結構116的側壁可以設置在鐵電結構114的側壁之間。在這樣的實施例中,第一導電結構112及鐵電結構114的頂表面可以與第二導電結構116的頂表面共平面。如第7圖所示,極化轉換結構110可以包括設置在記憶體裝置閘極堆疊120上的鐵電結構114及設置在鐵電結構114上的導電結構116’。鐵電結構114可以直接接觸裝置閘極堆疊。記憶體裝置閘極堆疊120與鐵電結構114之間的導電結構(類似於在第4圖中的第一導電結構112)可被省略。閘極電極118作為極化轉換結構110的下電極。如第8圖或第10圖所示,第二自對準接觸遮罩128可以設置在極化轉換結構110的頂部,可增加源極/汲極接觸的靈活性。第二自對準接觸遮罩128的頂表面可以與側壁間隔物502的頂表面共平面。在一些實施例中,第二自對準接觸遮罩128的頂表面也可以與第一自對準接觸遮罩126及/或層間介電質結構122的頂表面共平面。
第11圖繪示可呈現第10圖所示的鐵電場效電晶體堆疊130的鐵電鰭式場效電晶體記憶體裝置100的一些實施例之示意圖。如第9圖所示,在其他額外的實施例中,極化轉換結構110可以包括設置在記憶體裝置閘極堆疊120上的鐵電結構114及設置在鐵電結構114上的導電結構116’。鐵電結構114可以直接接觸裝置閘極堆疊。鐵電結構114可以為U形。第二導電結構116的側壁可以設置在鐵電結構114的側壁之間。鐵電結構114的頂表面可以與第二導電結構116的頂表面共平面。相較於第4、7、8圖或第10圖所示的實施例,對第5、6圖或第9圖所示的實施例而言,鐵電有效面積(ferroelectric effective area)可以被降低。藉由改變第一自對準接觸遮罩126(參見第11圖)內的極化轉換結構110所佔有的面積,可以靈活地設計鐵電有效面積與金氧半導體面積(定義為閘極電極118的橫向尺寸)的比例。
第12A圖繪示積體電路的一些實施例沿X方向的剖面圖,此積體電路包括設置在記憶體區101a中的鐵電鰭式場效電晶體記憶體裝置100及設置在邏輯區101b中的邏輯裝置100’。第12B圖繪示積體電路的一些實施例沿Y方向的剖面圖,此積體電路包括設置在記憶體區101a中的鐵電鰭式場效電晶體記憶體裝置100及設置在邏輯區101b中的邏輯裝置100’。第12A圖及第12B圖中的組件標號與第1圖、第2圖或第3圖的相同組件標號的描述一致,因此其描述可完全併入於此。如第12A圖及第12B圖所示,邏輯裝置100’包括設置在基板102上的邏輯裝置的閘極堆疊120’。鐵電鰭式場效電晶體記憶體裝置100包括設置在基板102上的記憶體裝置閘極堆疊120。在一些實施例中,邏輯裝置閘極堆疊120’及記憶體裝置閘極堆疊120具有相同的組成,舉例而言,界面介電層105、閘極介電質108、及閘極電極118依序從下到上設置。在一些替代實施例中,邏輯裝置閘極堆疊120’及記憶體裝置閘極堆疊120可以具有不同的組成。第一自對準接觸(SAC)遮罩126設置在邏輯裝置閘極堆疊120’及記憶體裝置閘極堆疊120上。在一些實施例中,極化轉換結構110設置在第一自對準接觸遮罩126內的記憶體裝置閘極堆疊120上。側壁間隔物502沿著記憶體裝置閘極堆疊120、極化轉換結構110、及第一自對準接觸遮罩126的側壁設置。導電接觸件124分別到達鐵電鰭式場效電晶體記憶體裝置100的極化轉換結構110上以及邏輯裝置100’的閘極電極118上。金屬閘極切割介電質132可以分開鐵電鰭式場效電晶體記憶體裝置100及邏輯裝置100’的閘極電極118。金屬閘極切割介電質132可以包括二氧化矽或其他介電材料。
第12C圖及第12D圖分別繪示積體電路的一些實施例的上視圖,此積體電路包括設置在記憶體區101a中的鐵電鰭式場效電晶體記憶體裝置100及設置在邏輯區101b中的邏輯裝置100’。第12C圖及第12D圖中的組件標號與第12A圖及第12B圖的相同組件標號的描述一致,因此其描述可完全併入於此。極化轉換結構110設置在第一自對準接觸遮罩126內的記憶體裝置閘極堆疊120上,且可以根據鐵電有效面積與金氧半導體面積(定義為閘極電極118的橫向尺寸,參照第12B圖)的所欲比例而具有預定尺寸。在一些實施例中,如第12A圖及第12C圖所示,極化轉換結構110的長度可以實質上等於閘極電極118的閘極長度或第一自對準接觸遮罩126的長度。在一些替代實施例中,極化轉換結構110可以不到達或對齊鰭片結構104或閘極電極118或第一自對準接觸遮罩126的邊緣。第12D圖繪示這樣的一個示例。應理解的是,可以採用其他形狀或位置的極化轉換結構110的。舉例而言,極化轉換結構110還可以具有圓形、橢圓形、多邊形或其他形狀的頂表面;且可以位於下方的記憶體裝置閘極堆疊120(第11圖、第12A圖、或第12B圖所示的記憶體裝置閘極堆疊120)的中心或角落。
第13-21圖繪示出形成鐵電鰭式場效電晶體記憶體裝置100的一些實施例之一系列剖面圖。
如第13圖所示,準備基板102。在一些實施例中,從基板102的上部形成複數個鰭片結構104。可以在基板102的下部平面部分上以及在複數個鰭片結構104之間形成隔離介電層107。為了說明之目的,第13圖是取自Y方向的剖面圖。隨後的第14-20圖是取自X方向的剖面圖,並且省略了基板102的下部。基板102可以包括任何類型的半導體基體(例如,單晶矽/互補式金氧半導體塊體、矽鍺、絕緣體上覆矽(SOI)等等)。隔離介電層107可以包括氧化物(例如SiO2 )、氮化物、碳化物、或其他材料。
如第14圖所示,形成閘極介電質108及犧牲閘極1404於基板102上並將其圖案化。在一些實施例中,藉由沉積介電層及處理層(processing layer)並接續進行圖案化製程,以形成閘極介電質108及犧牲閘極1404。在一些實施例中,舉例而言,介電層(即稍後形成的閘極介電質108)可以包括:氧化物(例如,SiO2 )、高介電常數(high-k)介電材料(例如,HfO2 、ZrO2 、或介電常數大於約3.9的一些其他介電材料)、一些其他介電材料、或上述材料的組合。在又進一步的實施例中,可由熱氧化、化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、濺鍍、或一些其他的沉積或成長製程中來沉積或成長介電層。在一些實施例中,舉例而言,處理層(即稍後形成的犧牲閘極1404)可以包括多晶矽。處理層的沉積或成長可透過化學氣相沉積、物理氣相沉積、原子層沉積、磊晶、濺鍍、或一些其他的沉積或成長製程。介電層及處理層被圖案化為初始閘極堆疊1402。在一些實施例中,形成初始閘極堆疊1402的製程包括:在處理層上方/上(over/on)形成遮罩層、透過蝕刻(例如,濕/乾蝕刻)並依據遮罩層來圖案化處理層及介電層。所述蝕刻移除處理層及介電層的未被遮住的部分,從而分別形成犧牲閘極1404及閘極介電質108。隨後,可以剝離遮罩層。
如第15圖所示,一對輕摻雜源極/汲極延伸(LDD)504a-b形成在基板102中。所述輕摻雜源極/汲極延伸504a-b形成在初始閘極堆疊1402的兩側。在一些實施例中,輕摻雜源極/汲極延伸504a-b是透過離子佈植製程形成的,且可以利用遮罩層(未示出)將離子選擇性地佈植到基板102中。在進一步的實施例中,初始閘極堆疊1402可以作為遮罩層來形成輕摻雜源極/汲極延伸504a-b。然後可以在基板102上方及沿著初始閘極堆疊1402的側面形成側壁間隔物502。在一些實施例中,可以在基板102及初始閘極堆疊1402上方沉積間隔物層(未示出)來形成側壁間隔物502。在進一步的實施例中,間隔物層可以包括:氮化物(例如,SiN)、氮氧化物(例如,SiOX NY )、或其他材料。間隔物層的沉積可以透過物理氣相沉積、化學氣相沉積、原子層沉積、濺鍍、或一些其他的沉積製程。隨後,蝕刻間隔物層以從水平表面移除間隔物層,留下沿初始閘極堆疊1402的側面的間隔物層作為側壁間隔物502。在又進一步的實施例中,可在形成輕摻雜源極/汲極延伸504a-b之前形成側壁間隔物502。在這樣的實施例中,可以使用斜向的(angled)離子佈植製程來形成輕摻雜源極/汲極延伸504a-b。
第15圖還繪示了形成在基板102中的一對源極/汲極區106a-b。源極/汲極區106a-b形成在側壁間隔物502的兩側。在一些實施例中,源極/汲極區106a-b是透過離子佈植製程形成,且可以利用遮罩層(未示出)以將離子選擇性地佈植到基板102中。在進一步的實施例中,初始閘極堆疊1402及側壁間隔物502可以作為遮罩層以形成源極/汲極區106a-b。
如第16圖所示,第一層間介電質(ILD)結構122a形成在基板102之上。第一層間介電質結構122a可以形成為具有實質上平坦的上表面,其與側壁間隔物502的上表面共平面。在一些實施例中,形成第一層間介電質結構122a的製程包括沉積層間介電層於基板102、界面介電層105、側壁間隔物502、及犧牲閘極1404上(例如,參見第16圖)。可以透過化學氣相沉積、物理氣相沉積、濺鍍、或一些其他沉積製程來沉積層間介電層。此後,可以對層間介電層執行平坦化製程(例如,化學機械平坦化(CMP)),以形成第一層間介電質結構122a。
第16圖中還示出了移除犧牲閘極1404(參見,例如第15圖),從而形成由側壁間隔物502的內側壁及閘極介電質108的上表面所定義的開口1602。在一些實施例中,用於移除犧牲閘極1404的製程包括執行蝕刻(例如,乾蝕刻或濕蝕刻)以選擇性地移除犧牲閘極1404。在進一步的實施例中,在蝕刻之前,可以形成遮罩層(未示出)來層覆蓋第一層間介電質結構122a及側壁間隔物502,同時露出犧牲閘極1404。此後,在此遮罩層就位的情況下執行蝕刻,從而選擇性地移除犧牲閘極1404。隨後,可以將遮罩層剝離。
如第17圖所示,形成閘極電極層1702以填充開口1602(參見第16圖)並形成於第一層間介電質結構122a上方。閘極電極層1702為導電的,且可以包括如金屬W、Al、Ti、Mo、TiN、TaN、或其他材料。在一些實施例中,可以透過化學氣相沉積、物理氣相沉積、原子層沉積、濺鍍、電化學電鍍(electrochemical plating)、無電電鍍(electroless plating)、或一些其他沉積製程來形成閘極電極層1702。如上所述的移除犧牲閘極1404並以閘極電極層1702替換的製程可以稱為替換閘極製程或閘極後製(gate-last)的高介電常數金屬閘極(high-k/metal gate,HKMG)製程。閘極介電質108可以包括介電質的堆疊,且可以被部分地移除或被一或多個其他介電層(例如高介電常數介電材)替換。
應理解的是,在一些實施例中,可以由其他製程來形成記憶體裝置閘極堆疊120。舉例而言,記憶體裝置閘極堆疊120的形成可透過:閘極先製的(gate-first)高介電常數金屬閘極(HKMG)製程(例如,在形成源極/汲極之前形成金屬閘極電極)、完全矽化的(fully silicided,FUSI)金屬閘極製程(例如,將多晶矽閘極完全矽化)、或摻雜的多晶矽閘極製程(例如,自對準多晶矽閘極製程)。取決於形成記憶體裝置閘極堆疊120的製程,舉例而言,處理層可以包括:摻雜的多晶矽(例如,n型/p型多晶矽)、未摻雜的多晶矽、金屬(例如,W、Al、Ti、Mo、或其他材料等)、金屬氮化物(例如,TiN、TaN、或其他材料)、一些其他導電材料、或上述的組合。
如第18圖所示,將閘極電極層1702(參見第17圖)回蝕刻至低於側壁間隔物502及第一層間介電質結構122a的頂表面,以形成閘極電極118。在一些實施例中,先對閘極電極層1702(參見第17圖)進行平坦化製程(例如,化學機械平坦化),隨後進行回蝕製程以進一步降低閘極電極層1702(參見第17圖)的高度,並在側壁間隔物502的上部之間形成凹入空間1802。
如第19圖所示,第一自對準接觸(SAC)遮罩126填入凹入空間1802(參見第18圖)。第一自對準接觸遮罩126的形成可以透過在凹入空間1802(參見第18圖)中沉積遮罩層,然後進行平坦化製程(例如,化學機械平坦化)。遮罩層可以包括:氮化矽、二氧化鋯、或純矽。
如第20圖所示,形成極化轉換結構110填充穿過第一自對準接觸遮罩126的開口2002且形成於凹入的閘極電極118上。在第一層間介電質結構122a上先形成遮罩層2004並將其圖案化,以定義開口2002。在一些實施例中,開口2002可以在裝置通道的長度方向上延伸至第一自對準接觸遮罩126的邊緣,使側壁間隔物502的上部露出。根據鐵電有效面積與金氧半導體面積(定義為閘極電極118的橫向尺寸)的所欲比率,開口2002可以具有預定的尺寸。然後,以極化轉換結構110的層填充開口2002。極化轉換結構110的填充製程可以變化。舉例而言,極化轉換結構110可以具有如第4-10圖所示的變化實施例。舉例而言,形成第一導電結構112的製程包括:沉積或成長第一導電結構112於閘極電極118上,並接著進行回蝕刻製程。在一些實施例中,舉例而言,第一導電結構112可以包括:金屬(例如,Al、Ti、Ta、Au、Pt、W、Ni、Ir等等)、金屬氮化物(例如,TiN、TaN等等)、金屬氧化物(例如,IrO2 )、摻雜的多晶矽(例如,n型/p型多晶矽)、或其他材料。在又進一步的實施例中,第一導電結構112的形成可以透過化學氣相沉積、物理氣相沉積、原子層沉積、磊晶、溶膠-凝膠(sol-gel)、濺鍍、電化學電鍍、無電電鍍、或一些其他沉積或成長製程。
形成鐵電結構114的製程可包括沉積或成長鐵電結構114於第一導電結構112上,隨後透過回蝕刻製程以降低鐵電結構114的高度。在進一步的實施例中,舉例而言,鐵電結構114可以包括:金屬氧化物(例如,HfX OY )、成分金屬氧化物(例如: HfX SiY OZ 、HfX AlY OZ 、HfX GdY OZ 、HfX ZrY OZ 、HfX LaY OZ 、HfX SrY OZ 、HfX YY OZ 、鈦酸鍶(STO)等等)、金屬氧氮化物(例如:HfX OY NZ )、或其他材料。在另外的實施例中,鐵電結構114的沉積或成長可以透過化學氣相沉積、物理氣相沉積、原子層沉積、磊晶、溶膠-凝膠(sol-gel)、濺鍍、電化學電鍍、無電電鍍、或一些其他沉積或成長製程。
形成第二導電結構116的製程可包括沉積或成長第二導電結構116於鐵電結構114上,隨後進行平坦化製程。在進一步的實施例中,舉例而言,第二導電結構116可以包括:金屬(例如,Al、Ti、Ta、Au、Pt、W、Ni、Ir等等)、金屬氮化物(例如,TiN、TaN等等)、金屬氧化物(例如,IrO2 )、摻雜的多晶矽(例如,n型/p型多晶矽)、或其他材料。在又進一步的實施例中,第二導電結構116的沉積或成長可以透過化學氣相沉積、物理氣相沉積、原子層沉積、磊晶、溶膠-凝膠(sol-gel)、濺鍍、電化學電鍍、無電電鍍、或一些其他沉積或成長製程。平坦化製程可以是化學機械研磨(chemical-mechanical polishing)製程。
在一些替代實施例中,第一導電結構112可順應性地形成於閘極電極118上且沿著開口2002的側壁向上延伸為U形,類似第6圖所示。鐵電結構114可以形成在第一導電結構112上。鐵電結構114也可以順應性地形成且沿著第一導電結構112的側壁向上延伸為U形,類似第5、6、或9圖所示。然後形成第二導電結構116在鐵電結構114上。隨後,移除遮罩層2004,且可以執行平坦化製程以達到極化轉換結構110的平坦頂表面,其與第一層間介電質結構122a的頂表面共平面。
在一些實施例中,第一導電結構112形成為約3奈米至約15奈米之厚度的順應層(conformal layer)。鐵電結構114可形成為約3奈米至約20奈米之厚度的順應層。在進一步的實施例中,第二導電結構116形成為約3奈米至約15奈米之厚度的順應層。第一導電結構112、鐵電結構114、及第二導電結構116的組合厚度可為約10奈米至約50奈米。雖然在此描述了第一導電結構112、鐵電結構114、及第二導電結構116,但根據上述各種實施例,極化轉換結構110可以由更多或更少的層來形成。
如第21圖所示,在第一層間介電質結構122a及鐵電場效電晶體堆疊130上方形成第二層間介電質結構122b、複數個導電接觸件124、複數個導線402、及複數個導電通孔404。第二層間介電質結構122b可以形成為具有實質上平坦的上表面。在一些實施例中,形成第二層間介電質結構122b的製程包括在第一層間介電質結構122a及鐵電場效電晶體堆疊130上方沉積彼此堆疊的複數個層間介電層。可以透過化學氣相沉積、物理氣相沉積、濺鍍、或一些其他沉積製程來沉積層間介電層。在進一步的實施例中,可以對複數個層間介電層中的一個或多個執行平坦化製程(例如,化學機械平坦化)。
在一些實施例中,形成複數個導電接觸件124的製程包括在第一層間介電質結構122a、側壁間隔物502、及極化轉換結構110上形成第一層間介電層。之後,選擇性地蝕刻第一層間介電層及第一層間介電質結構122,以形成對應於複數個導電接觸件124的接觸開口(未示出)。然後沉積導電材料(例如,W)在第一層間介電層上並填充接觸開口。隨後,對導電材料及第一層間介電層執行平坦化製程(例如,化學機械平坦化),從而形成延伸穿過第一層間介電質結構122a的複數個導電接觸件124。在進一步的實施例中,可以透過化學氣相沉積、物理氣相沉積、原子層沉積、濺鍍、電化學電鍍、無電電鍍、或一些其他沉積製程來沉積導電材料。
在一些實施例中,形成複數個導線402及複數個導電通孔404的製程包括在複數個導電接觸件124及第一層間介電層上方形成第二層間介電層。選擇性地蝕刻第二層間介電層以形成第一組導線開口(未示出),其對應於複數個導電線402的第一組導電線。導電材料(例如,Cu)沉積在第二層間介電層上並填充第一組導電線開口。對導電材料及第二層間介電層進行平坦化製程(例如,化學機械研磨),從而形成第一組導線。
之後,在第一組導線及第二層間介電層上方形成第三層間介電層。選擇性地蝕刻第三層間介電層以形成第一組導電通孔開口(未示出),其對應複數個導電通孔404的第一組導電通孔。導電材料(例如,Cu)沉積在第三層間介電層上並填充第一組導電通孔開口。對導電材料及第三層間介電層執行平坦化製程(例如,化學機械研磨),從而形成第一組導電通孔。重複此製程(例如,交替形成導線及通孔)直到形成複數個導線402及複數個導電通孔404。
複數個導線402、複數個導電通孔404、及複數個導電接觸件124以預先定義的方式(predefined manner)電性耦合在一起,並配置以為設置在整個鐵電鰭式場效電晶體記憶體裝置中的各種裝置之間提供電性連接。複數個導線402、複數個導電通孔404、複數個導電接觸件124、及層間介電質結構122可以稱為互連結構。複數個導線402中的第一個被標示為402w1且可以稱為字元線。字元線可以經由互連結構及閘極電極118電性耦合至極化轉換結構110。複數個導線402中的第二個被標示為402b1且可以稱為位元線。位元線可以經由互連結構電耦合至源極/汲極區106a-b的第一源極/汲極區106a。複數個導線402中的第三個被標示為402s1且可以稱為源極線。源極線可以經由互連結構電耦合至源極/汲極區106a-b的第二源極/汲極區106b。
第22圖繪示形成包括鐵電記憶體裝置的積體晶片(IC)之方法的一些實施例的流程圖2200。鐵電記憶體裝置可以是鐵電鰭式場效電晶體記憶體裝置100。雖然在此將第22圖的流程圖2200以一系列動作或事件來繪示及說明,但是應當理解的是,所繪示的這樣的動作或事件的順序不是以限制性的意義來解釋。舉例而言,除了文中繪示及/或描述的那些動作或事件之外,一些動作可以以不同的順序發生及/或與其他動作或事件同時發生。此外,為了實施本文描述的一或多個方面或實施例,可能不需要全部所繪示的動作,且本文描述的一個或多個動作可以在一個或多個分開的動作及/或階段中執行。
在動作2202,準備具鰭片結構的基板。第13圖繪示對應動作2202的一些實施例的剖面圖。
在動作2204,形成初始閘極堆疊,其包括在基板上方的犧牲閘極和閘極介電質。側壁間隔物形成於基板上且沿著初始閘極堆疊的側面。然後,在側壁間隔物兩側的基板中形成源極/汲極區。在一些實施例中,在形成源極/汲極區之前,在基板中以及在初始閘極堆疊的兩側形成輕摻雜源極/汲極延伸。第14-15圖繪示對應動作2204的一些實施例的一系列剖面圖。
在動作2206,形成第一層間介電質結構於基板及初始閘極堆疊上方。然後可以研磨第一層間介電質結構以使其頂表面與初始閘極堆疊的頂表面共平面。第16圖繪示對應動作2206的一些實施例的剖面圖。
在動作2208,執行替換閘極製程以形成裝置閘極堆疊。移除犧牲閘極,然後填充介電材料及金屬材料以形成裝置閘極堆疊。第17圖繪示對應動作2208的一些實施例的剖面圖。
在動作2210中,形成自對準接觸遮罩於凹入空間中。首先將裝置閘極堆疊凹入,以在側壁間隔物上部之間形成凹入空間。然後可以在凹入空間中沉積遮罩材料,並接續進行平坦化製程,使其頂表面與側壁間隔物及/或第一層間介電質結構共平面,由此形成自對準接觸遮罩。第18-19圖繪示對應動作2210的一些實施例的一系列剖面圖。
在動作2212中,形成穿過自對準接觸遮罩的開口,且將極化轉換結構形成於開口中,因此形成鐵電場效電晶體堆疊。極化轉換結構可以包括在第一導電結構與第二導電結構之間的鐵電結構。極化轉換結構也可以是其他適用的結構,例如以上第4-10圖所示的實施例。第20圖繪示對應動作2212的一些實施例的剖面圖。
在動作2214,形成互連結構於基板及鐵電場效電晶體堆疊上方。第21圖繪示對應動作2214的一些實施例的剖面圖。
在一些實施例中,本揭露提供鐵電記憶體裝置。鐵電記憶體裝置包括一對源極/汲極區,設置在基板中。閘極介電質,設置在基板上及源極/汲極區之間。閘極電極,設置在閘極介電質上。極化轉換結構(polarization switching structure),設置在閘極電極上。一對側壁間隔物,設置在基板上且沿著閘極電極及極化轉換結構之相對側壁設置。
一些實施例中,基板包括上部,上部包括複數個鰭片結構。一些實施例中,鐵電記憶體裝置更包括第一自對準接觸遮罩,設置在閘極電極上,且圍繞極化轉換結構之周圍。一些實施例中,第一自對準接觸遮罩的頂表面對準所述側壁間隔物的頂表面。一些實施例中,鐵電記憶體裝置更包括第二自對準接觸遮罩,設置在極化轉換結構上且其頂表面對準第一自對準接觸遮罩的頂表面。一些實施例中,極化轉換結構直接接觸所述側壁間隔物。一些實施例中,極化轉換結構包括:第一導電結構,設置在閘極電極上、鐵電結構,設置在第一導電結構上、以及第二導電結構,設置在鐵電結構上。一些實施例中,第二導電結構的頂表面對準所述側壁間隔物的頂表面。一些實施例中,第一導電結構、鐵電結構、及第二導電結構分別為平面形狀。一些實施例中,第一導電結構及鐵電結構分別為U形,所述U形包括接觸所述側壁間隔物的底部平面部分及上部垂直部分;其中第一導電結構、鐵電結構、及第二導電結構的頂表面彼此為共平面的。一些實施例中,第一導電結構為平面形狀;其中鐵電結構為U形,所述U形包括接觸所述側壁間隔物的底部平面部分及上部垂直部分;其中第二導電結構填入鐵電結構的內間隔物且其頂表面與鐵電結構的頂表面為共平面的。一些實施例中,第一導電結構及第二導電結構的厚度分別為約3nm至約15nm;以及其中鐵電結構的厚度為約5nm至約30nm。一些實施例中,極化轉換結構包括:鐵電結構,設置在閘極電極上、以及導電結構,設置在鐵電結構上。一些實施例中,鐵電結構為U形且其頂表面與導電結構的頂表面為共平面的。一些實施例中,鐵電記憶體裝置更包括界面介電層,設置於閘極介電質下方的基板上。
在一些實施例中,本揭露提供積體晶片。積體晶片包括邏輯區及記憶體區,整合在基板中。邏輯裝置,設置在邏輯區中且包括設置在基板上的邏輯裝置閘極堆疊。鐵電鰭式場效電晶體記憶體裝置,設置在記憶體區中且包括在基板上的記憶體裝置閘極堆疊及設置在記憶體裝置閘極堆疊上的極化轉換結構。第一自對準接觸(SAC)遮罩,設置在邏輯裝置閘極堆疊及記憶體裝置閘極堆疊上且鄰近極化轉換結構。側壁間隔物,沿著記憶體裝置閘極堆疊、極化轉換結構、及第一自對準接觸遮罩的側壁設置。
一些實施例中,極化轉換結構的頂表面對準第一自對準接觸遮罩的頂表面。一些實施例中,極化轉換結構直接接觸第一自對準接觸遮罩及側壁間隔物。一些實施例中,積體晶片,更包括:第一層間介電(ILD)結構,設置在基板上,且圍繞邏輯裝置閘極堆疊及記憶體裝置閘極堆疊、第一導孔,設置在第一層間介電質結構中且到達鐵電鰭式場效電晶體記憶體裝置的極化轉換結構、以及第二導孔,設置在第一層間介電質結構及第一自對準接觸遮罩中,且到達邏輯裝置的邏輯裝置閘極堆疊。
在一些實施例中,本揭露提供鐵電記憶體裝置的形成方法。此方法包括:形成初始閘極堆疊,包括在基板上方的閘極介電質及在閘極介電質上方的犧牲閘極。形成側壁間隔物於基板上方且沿著初始閘極堆疊的側面。移除犧牲閘極並以金屬閘極替換犧牲閘極。凹入金屬閘極,以形成凹入空間於側壁間隔物的上部之間。形成自對準接觸遮罩於凹入空間中。穿過自對準接觸遮罩形成露出金屬閘極的開口並且以極化轉換結構填充開口。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程及結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神及範圍之下,做各式各樣的改變、取代及替換。
100:記憶體裝置 100’:邏輯裝置 101a:記憶體區 101b:邏輯區 102:基板 104:鰭片結構 105:界面介電層 106a/106b:源極/汲極區 107:隔離介電層 108:閘極介電質 110:極化轉換結構 112:第一導電結構 114:鐵電結構 116:第二導電結構 116’:導電結構 118:閘極電極 120,120’:閘極堆疊 122:層間介電質結構 122a:第一層間介電質結構 122b:第二層間介電質結構 124:導電接觸件 126:第一自對準接觸遮罩 128:第二自對準接觸遮罩 130:電晶體堆疊 132:金屬閘極切割介電質 402:導線 402w1:字元線 402b1:位元線 402s1:源極線 404:導電通孔 502:側壁間隔物 504a-b:輕摻雜源極/汲極延伸 1402:初始閘極堆疊 1404:犧牲閘極 1602:開口 1702:閘極電極層 1802:凹入空間 2002:開口 2004:遮罩層 2200:流程圖 2202,2204,2206,2208,2210,2212,2214:動作
由以下的詳細敘述配合所附圖式,可最好地理解本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本發明實施例之特徵。 第1圖繪示出鐵電鰭式場效電晶體記憶體裝置的一些實施例之示意圖。 第2圖是沿著第1圖的X方向繪示出鐵電鰭式場效電晶體記憶體裝置的一些實施例之剖面圖。 第3圖是沿著第1圖的Y方向繪示出鐵電鰭式場效電晶體記憶體裝置的一些實施例之剖面圖。 第4-10圖繪示出鐵電鰭式場效電晶體堆疊的一些實施例之剖面圖。 第11圖繪示出鐵電鰭式場效電晶體記憶體裝置的一些實施例之示意圖。 第12A圖是沿著X方向繪示出包含邏輯裝置及鐵電鰭式場效電晶體記憶體裝置的積體電路的一些實施例之示意圖。 第12B圖是沿著Y方向繪示出包含邏輯裝置及鐵電鰭式場效電晶體記憶體裝置的積體電路的一些實施例之示意圖。 第12C圖繪示出包含邏輯裝置及鐵電鰭式場效電晶體記憶體裝置的積體電路的一些實施例之上視圖。 第12D圖繪示出包含邏輯裝置及鐵電鰭式場效電晶體記憶體裝置的積體電路的一些其他實施例之上視圖。 第13-21圖繪示出形成鐵電鰭式場效電晶體記憶體裝置的一些實施例之一系列剖面圖。 第22圖繪示出鐵電鰭式場效電晶體記憶體裝置的形成方法的一些實施例之流程圖。
100:記憶體裝置
102:基板
104:鰭片結構
105:界面介電層
106a/106b:源極/汲極區
108:閘極介電質
110:極化轉換結構
112:第一導電結構
114:鐵電結構
116:第二導電結構
118:閘極電極
120:閘極堆疊
122:層間介電質結構
124:導電接觸件
502:側壁間隔物

Claims (20)

  1. 一種鐵電記憶體裝置,包括: 一對源極/汲極區,設置在一基板中; 一閘極介電質,設置在該基板上及所述源極/汲極區之間; 一閘極電極,設置在該閘極介電質上; 一極化轉換結構(polarization switching structure),設置在該閘極電極上;以及 一對側壁間隔物,設置在該基板上且沿著該閘極電極及該極化轉換結構之相對側壁設置。
  2. 如請求項1之鐵電記憶體裝置,其中該基板包括一上部,該上部包括複數個鰭片結構。
  3. 如請求項1之鐵電記憶體裝置,更包括一第一自對準接觸遮罩,設置在該閘極電極上,且圍繞該極化轉換結構之周圍。
  4. 如請求項3之鐵電記憶體裝置,其中該第一自對準接觸遮罩的頂表面對準所述側壁間隔物的頂表面。
  5. 如請求項3之鐵電記憶體裝置,更包括一第二自對準接觸遮罩,設置在該極化轉換結構上且其頂表面對準該第一自對準接觸遮罩的頂表面。
  6. 如請求項1之鐵電記憶體裝置,其中該極化轉換結構直接接觸所述側壁間隔物。
  7. 如請求項1之鐵電記憶體裝置,其中該極化轉換結構包括: 一第一導電結構,設置在該閘極電極上; 一鐵電結構,設置在該第一導電結構上;以及 一第二導電結構,設置在該鐵電結構上。
  8. 如請求項7之鐵電記憶體裝置,其中該第二導電結構的頂表面對準所述側壁間隔物的頂表面。
  9. 如請求項7之鐵電記憶體裝置,其中該第一導電結構、該鐵電結構、及該第二導電結構分別為平面形狀。
  10. 如請求項7之鐵電記憶體裝置, 其中該第一導電結構及該鐵電結構分別為U形,所述U形包括接觸所述側壁間隔物的一底部平面部分及一上部垂直部分; 其中該第一導電結構、該鐵電結構、及該第二導電結構的頂表面彼此為共平面的。
  11. 如請求項7之鐵電記憶體裝置, 其中該第一導電結構為平面形狀; 其中該鐵電結構為U形,所述U形包括接觸所述側壁間隔物的一底部平面部分及一上部垂直部分; 其中該第二導電結構填入該鐵電結構的一內間隔物且其頂表面與該鐵電結構的頂表面為共平面的。
  12. 如請求項7之鐵電記憶體裝置, 其中該第一導電結構及該第二導電結構的厚度分別為約3nm至約15nm;以及 其中該鐵電結構的厚度為約5nm至約30nm。
  13. 如請求項1之鐵電記憶體裝置,其中該極化轉換結構包括: 一鐵電結構,設置在該閘極電極上;以及 一導電結構,設置在該鐵電結構上。
  14. 如請求項13之鐵電記憶體裝置,其中該鐵電結構為U形且其頂表面與該導電結構的頂表面為共平面的。
  15. 如請求項1之鐵電記憶體裝置,更包括一界面介電層,設置於該閘極介電質下方的該基板上。
  16. 一種積體晶片,包括: 一邏輯區及一記憶體區,整合在一基板中; 一邏輯裝置,設置在該邏輯區中且包括設置在該基板上的一邏輯裝置閘極堆疊; 一鐵電鰭式場效電晶體記憶體裝置,設置在該記憶體區中且包括在該基板上的一記憶體裝置閘極堆疊及設置在該記憶體裝置閘極堆疊上的一極化轉換結構; 一第一自對準接觸(SAC)遮罩,設置在該邏輯裝置閘極堆疊及該記憶體裝置閘極堆疊上且鄰近該極化轉換結構;以及 一側壁間隔物,沿著該記憶體裝置閘極堆疊、該極化轉換結構、及該第一自對準接觸遮罩的側壁設置。
  17. 如請求項16之積體晶片,其中該極化轉換結構的頂表面對準該第一自對準接觸遮罩的頂表面。
  18. 如請求項16之積體晶片,其中該極化轉換結構直接接觸該第一自對準接觸遮罩及該側壁間隔物。
  19. 如請求項16之積體晶片,更包括: 一第一層間介電(ILD)結構,設置在該基板上,且圍繞該邏輯裝置閘極堆疊及該記憶體裝置閘極堆疊; 一第一導孔,設置在該第一層間介電質結構中且到達該鐵電鰭式場效電晶體記憶體裝置的該極化轉換結構;以及 一第二導孔,設置在該第一層間介電質結構及該第一自對準接觸遮罩中,且到達該邏輯裝置的該邏輯裝置閘極堆疊。
  20. 一種鐵電鰭式場效電晶體記憶體裝置的形成方法,包括: 形成一初始閘極堆疊,包括在一基板上方的一閘極介電質及在該閘極介電質上方的一犧牲閘極; 形成一側壁間隔物於該基板上方且沿著該初始閘極堆疊的側面; 移除該犧牲閘極並以一金屬閘極替換該犧牲閘極; 凹入該金屬閘極,以形成一凹入空間於該側壁間隔物的一上部之間; 形成一自對準接觸遮罩於該凹入空間中; 穿過該自對準接觸遮罩形成露出該金屬閘極的一開口;以及 以一極化轉換結構填充該開口。
TW110105230A 2020-02-24 2021-02-17 鐵電記憶體裝置及其形成方法 TWI798644B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/798,719 US11508753B2 (en) 2020-02-24 2020-02-24 Embedded ferroelectric FinFET memory device
US16/798,719 2020-02-24

Publications (2)

Publication Number Publication Date
TW202133347A true TW202133347A (zh) 2021-09-01
TWI798644B TWI798644B (zh) 2023-04-11

Family

ID=77176177

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110105230A TWI798644B (zh) 2020-02-24 2021-02-17 鐵電記憶體裝置及其形成方法

Country Status (5)

Country Link
US (3) US11508753B2 (zh)
KR (1) KR102387752B1 (zh)
CN (1) CN113380887A (zh)
DE (1) DE102020105617B4 (zh)
TW (1) TWI798644B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11508753B2 (en) * 2020-02-24 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded ferroelectric FinFET memory device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07202017A (ja) * 1993-12-28 1995-08-04 Hitachi Ltd 半導体集積回路装置及びその製造方法
KR100243294B1 (ko) * 1997-06-09 2000-02-01 윤종용 반도체장치의 강유전체 메모리 셀 및 어레이
TW383494B (en) * 1998-04-21 2000-03-01 United Microelectronics Corp Structure and manufacturing method for capacitors
US6603161B2 (en) * 2000-03-10 2003-08-05 Kabushiki Kaisha Toshiba Semiconductor device having ferroelectric capacitor and method for manufacturing the same
US6925008B2 (en) * 2001-09-29 2005-08-02 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device with a memory unit including not more than two memory cell transistors
US7297602B2 (en) * 2003-09-09 2007-11-20 Sharp Laboratories Of America, Inc. Conductive metal oxide gate ferroelectric memory transistor
US7008833B2 (en) * 2004-01-12 2006-03-07 Sharp Laboratories Of America, Inc. In2O3thin film resistivity control by doping metal oxide insulator for MFMox device applications
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
US8829588B2 (en) * 2011-07-26 2014-09-09 Synopsys, Inc. NVM bitcell with a replacement control gate and additional floating gate
KR20140086645A (ko) * 2012-12-28 2014-07-08 에스케이하이닉스 주식회사 자기정렬된 에어갭을 구비한 반도체장치 및 그 제조 방법
US9293556B2 (en) * 2014-07-29 2016-03-22 Globalfoundries Inc. Semiconductor structure including a ferroelectric transistor and method for the formation thereof
US20160071947A1 (en) * 2014-09-10 2016-03-10 Globalfoundries Inc. Method including a replacement of a dummy gate structure with a gate structure including a ferroelectric material
TWI590388B (zh) 2016-04-12 2017-07-01 新唐科技股份有限公司 記憶體裝置及其形成方法
US9985134B1 (en) * 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10249756B2 (en) * 2016-11-29 2019-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including memory and logic circuit having FETs with ferroelectric layer and manufacturing methods thereof
US10062784B1 (en) * 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
WO2018236361A1 (en) 2017-06-20 2018-12-27 Intel Corporation FERROELECTRIC FIELD EFFECT TRANSISTORS (FEFET) HAVING INTERFACE LAYER DESIGNED AS A BAND
KR20190001455A (ko) * 2017-06-27 2019-01-04 에스케이하이닉스 주식회사 강유전성 메모리 장치
KR20190008048A (ko) * 2017-07-14 2019-01-23 에스케이하이닉스 주식회사 강유전성 메모리 소자
US10256152B2 (en) * 2017-07-24 2019-04-09 Globalfoundries Inc. Methods of making FinFET device comprising a piezoelectric liner for generating a surface charge
US10438645B2 (en) * 2017-10-27 2019-10-08 Ferroelectric Memory Gmbh Memory cell and methods thereof
US10784362B2 (en) * 2017-10-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6920192B2 (ja) * 2017-12-28 2021-08-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10811431B1 (en) * 2019-06-28 2020-10-20 Sandisk Technologies Llc Ferroelectric memory device containing word lines and pass gates and method of forming the same
US11508753B2 (en) * 2020-02-24 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded ferroelectric FinFET memory device

Also Published As

Publication number Publication date
DE102020105617A1 (de) 2021-08-26
CN113380887A (zh) 2021-09-10
TWI798644B (zh) 2023-04-11
DE102020105617B4 (de) 2023-03-16
US20210265366A1 (en) 2021-08-26
KR102387752B1 (ko) 2022-04-19
US11832450B2 (en) 2023-11-28
US11508753B2 (en) 2022-11-22
US20220352184A1 (en) 2022-11-03
KR20210108287A (ko) 2021-09-02
US20230403860A1 (en) 2023-12-14

Similar Documents

Publication Publication Date Title
TWI828943B (zh) 用於三維邏輯裝置的倒換接觸窗及矽化物製程
TWI548096B (zh) 於鰭式場效電晶體半導體裝置上形成接觸結構的方法及其所產生的裝置
TWI764103B (zh) 鐵電記憶體裝置、積體晶片、和用於形成鐵電記憶體裝置的方法
US8981454B2 (en) Non-volatile memory device using finfet and method for manufacturing the same
TWI762159B (zh) 形成半導體電晶體元件之方法與半導體元件
TWI733942B (zh) 半導體元件及半導體單元陣列
KR20180051845A (ko) 반도체 장치
US20210125935A1 (en) Conductive Contact Having Staircase-Like Barrier Layers
TWI815093B (zh) 三維記憶體裝置及其製造方法
US20210376151A1 (en) Transistor, integrated circuit, and manufacturing method
CN113471147A (zh) 制造半导体器件的方法和静电放电器件
CN113224054A (zh) 半导体晶体管器件及其形成方法
CN113394297A (zh) 场效晶体管、半导体器件及其制造方法
US11037834B2 (en) Simple contact over gate on active area
US20230403860A1 (en) Embedded ferroelectric finfet memory device
CN113345928A (zh) 半导体芯片
CN113517227A (zh) 半导体器件和形成半导体晶体管器件的方法
KR102581117B1 (ko) 반도체 칩
KR102611247B1 (ko) 패턴 게이트를 갖는 반도체 금속 산화물 트랜지스터 및 이를 형성하는 방법
TWI836689B (zh) 半導體裝置及其形成方法
TWI822004B (zh) 積體晶片結構及其形成方法
US20230378297A1 (en) Source/Drains In Semiconductor Devices and Methods of Forming Thereof
US20240071833A1 (en) Hybrid fin-dielectric semiconductor device
TW202420592A (zh) 半導體裝置及其形成方法
TW202230789A (zh) 積體電路、鰭式場效電晶體金屬氧化物半導體電容器及其形成方法