TW202133336A - 積體電路中的單元 - Google Patents

積體電路中的單元 Download PDF

Info

Publication number
TW202133336A
TW202133336A TW110105164A TW110105164A TW202133336A TW 202133336 A TW202133336 A TW 202133336A TW 110105164 A TW110105164 A TW 110105164A TW 110105164 A TW110105164 A TW 110105164A TW 202133336 A TW202133336 A TW 202133336A
Authority
TW
Taiwan
Prior art keywords
layer
metal
cell
unit
conductor
Prior art date
Application number
TW110105164A
Other languages
English (en)
Inventor
彭士瑋
曾健庭
蕭錦濤
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202133336A publication Critical patent/TW202133336A/zh

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

揭示積體電路中的一或多個單元的各種記憶單元結構及電源繞線。在一個實施例中,將不同金屬層用於可操作以連接至電壓源以供應不同電壓信號的電力條帶,此允許電力條帶中的一些或全部具有一較大寬度。另外或替代地,將較少金屬條帶用於金屬層中的信號以允許彼金屬層中的電力條帶具有一較大寬度。較大寬度反而使電力條帶的總面積增大以減小電力條帶上的IR壓降。各種電源繞線包括將一個金屬層中的金屬柱連接至另一金屬層中的電力條帶,及延長一個金屬層中的一金屬條帶以提供至另一金屬層中的電力條帶的額外連接。

Description

積體電路中的單元結構與電源繞線
在過去的四十年間,半導體製造產業由對更高效能(例如,增大的處理速度、記憶容量等)、縮小的形狀因數、延長的電池壽命及較低成本的不斷需求驅使。回應於此需求,該產業已繼續減小半導體裝置組件的大小,使得現代積體電路(integrated circuit;IC)晶片可包含配置在單一半導體晶粒上的幾百萬或幾十億個半導體裝置。
以下揭示內容提供用於實施提供的標的的不同特徵的許多不同實施例或實例。組件及配置的特徵實例將在下文描述以簡化本案的一實施例。當然,此等各者僅為實例且不欲為限制性的。舉例而言,在隨後的描述中的第一特徵形成於第二特徵上方或上可包括第一特徵及第二特徵係直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間,使得第一特徵及第二特徵不可直接接觸的實施例。另外,本案的一實施例可在各種實例中重複參考數字及/或字母。此重複係出於簡單及清楚的目的且本身並不規定論述的各種實施例及/或組態之間的關係。
此外,為了方便描述一個元件或特徵與另一元件或特徵的關係,在本文中可使用空間相對術語,例如「在……下面」、「在……之下」、「下部」、「在……之上」、「在……上方」、「在……下」、「上部」、「頂部」、「前」、「後」及類似術語。空間相對術語意欲涵蓋除了諸圖中所描繪的定向以外的裝置在使用或操作時的不同定向。因為各種實施例中的組件可以許多不同定向定位,所以方向術語僅用於說明性目的且絕不為限制性的。當結合積體電路、半導體裝置或電子裝置的層使用時,方向術語意欲廣義地加以解釋,且因此不應解譯為排除一或多個介入層或其他介入特徵或元件的存在。因此,在本文中描述為形成於另一層上、上方或下或安置在另一層上、上方或下的給定層可藉由一或多個額外層與後一層分開。
積體電路常常用於各種電子裝置中。積體電路包括單元,該些單元包括提供積體電路的功能性或多個功能性的電子電路(「組件」)。實例組件包括(但不限於)諸如正反器、鎖存器、反及(NAND)、或(OR)、及(AND)、反相器及反或(NOR)電路的邏輯組件,以及電阻器、電容器、感應器、二極體、電晶體、放大器、緩衝器、記憶體、處理器、比較器及類似物。該些單元通常按網格圖案(列及行)配置,具有一或多個金屬層以提供至該些單元的觸點、信號線及電力線。
本文中揭示的實施例提供積體電路中的一或多個單元的各種記憶單元結構及電源繞線。在一些例子中,實施例減小一或多個金屬條帶上的IR壓降(例如,電壓降)。減小IR壓降能夠改良積體電路的操作及效能。在一個實施例中,一第一金屬層中的一電力條帶可用於提供一第一電壓源(例如,VDD),而一不同金屬層中的一電力條帶可用於提供一不同的第二電壓(例如,VSS或接地)。將不同金屬層用於提供不同電壓源的金屬條帶允許一個或兩個電力條帶具有較大寬度,此反而增大金屬條帶的總面積。增大的面積減小金屬條帶上的IR壓降。
在一些實施例中,該第一金屬層相對於該第二金屬層不對稱。舉例而言,該第一金屬層可具有用於信號的N個金屬條帶,而該第二金屬層具有用於信號的M個金屬條帶,其中N不等於M。舉例而言,N可小於M。將較少金屬條帶用於該第一金屬層中的信號使得用於該第一電壓源的該金屬條帶的區域具有較大面積。
本文中描述的實施例係關於金屬層、金屬條帶、聚矽層及聚矽線來描述。然而,其他實施例不限於金屬層、金屬條帶、聚矽層及聚矽線。可使用由一或多種導電材料製成的任何合適導體。另外,該些導體可以一或多個導體層形成。
此等及其他實施例係參考第1圖至第32圖在下文論述。然而,熟習此項技術者將容易瞭解,本文中關於此等圖給出的詳細描述僅出於解釋性目的且不應解釋為限制性的。
第1圖根據一些實施例描繪實例積體電路的方塊圖,本案的一實施例的態樣可在該積體電路中實踐。圖示的積體電路為記憶裝置100,儘管其他實施例不限於此類型的積體電路。記憶裝置100包括記憶單元102,該些記憶單元按列及行配置以形成記憶陣列104。記憶裝置100可包括任何合適數目個列及行。舉例而言,記憶裝置包括R數目個列及C數目個行,其中R為大於或等於一的整數且C為大於或等於一的數字。其他實施例不限於記憶單元102的列及行。記憶陣列104中的記憶單元102可按任何合適配置組織。
每一列記憶單元102可操作地連接至一或多個字線(共同為字線106)。字線106可操作地連接至一或多個列選擇電路(共同被稱為列選擇電路108)。列選擇電路108基於在信號線110上接收的位址信號而選擇一特定字線106。
每一行記憶單元102可操作地連接至一或多個位元線(共同為位元線112)。位元線112可操作地連接至一或多個行選擇電路(共同被稱為行選擇電路114)。行選擇電路114基於在信號線116上接收的選擇信號而選擇一特定位元線112。
處理裝置118可操作地連接至記憶陣列104、列選擇電路108及行選擇電路114。處理裝置118可操作以控制記憶陣列104、列選擇電路108及行選擇電路114的一或多個操作。可使用任何合適的處理裝置。實例處理裝置包括(但不限於)中央處理單元、微處理器、特殊應用積體電路、圖形處理單元、場可程式化閘陣列或其組合。
電源供應器120可操作地連接至記憶陣列104及處理裝置118。在一些實施例中,電源供應器120亦可操作地連接至列選擇電路108及行選擇電路114。處理裝置118及/或電源供應器120能夠與記憶陣列安置在同一電路(例如,巨集(macro))中。在一實例實施例中,巨集係指包括記憶陣列及諸如以下各者的周邊設備的記憶體單元:控制區塊、輸入/輸出區塊、列解碼器電路、行解碼器電路等。在其他實施例中,處理裝置118及/或電源供應器120可安置於分開的電路中且可操作地連接至巨集(例如,記憶陣列)。
當資料將被寫入至記憶單元102 (例如,記憶單元102經程式化)或將自記憶單元102讀取時,在信號線110上接收到該記憶單元的位址。列選擇電路108啟動或宣告與該位址相關聯的字線106。在信號線116上接收到選擇信號,且宣告或啟動與該選擇信號相關聯的位元線112。接著將資料寫入至記憶單元102或自記憶單元102讀取資料。
記憶裝置100、列選擇電路108、行選擇電路114、處理裝置118及電源供應器120包括於電子裝置122中。電子裝置122可為任何合適的電子裝置。實例電子裝置包括(但不限於)計算裝置,諸如膝上型電腦及平板電腦、蜂巢式電話、電視、汽車、立體聲系統及相機。
第2圖根據一些實施例圖示單元結構的佈局。實例單元結構200適合用於第1圖所示的記憶裝置中。單元201的單元結構200包括第一金屬(ML1)層202、第二金屬(ML2)層204及第三金屬(ML3)層206。在一非限制性實例中,ML1層202為M0層,ML2層204為M1層,且ML3層206為M2層。單元201的該些層之間的實例連接將結合第26圖至第28圖來更詳細地描述。
在圖示的實施例中,ML1層202中的金屬條帶202a用以可操作地連接至第一電壓源以供應第一電壓信號(例如,VDD),且ML3層206中的金屬條帶206e用以可操作地連接至第二電壓源以供應第二電壓信號(例如,VSS或接地)。相應地,金屬條帶202a及金屬條帶206e在本文中被稱為電力條帶(power stripe)。ML1層202中的金屬條帶202b、202c、202d、ML2層204中的金屬條帶204a、204b、204c、204d及ML3層206中的金屬條帶206a、206b、206c、206d係用於各種信號。在一實例實施例中,ML1層202中的金屬條帶202e係用於信號。在另一實例實施例中,金屬條帶202e係用作第二電壓源的內部信號線,該內部信號線在內部將第二電壓信號提供至單元201。金屬條帶202e可為充當介層孔以將ML3層206中的第二電壓信號提供至ML1層202的一片段或較短金屬條帶。
單元201包括安置在x方向上的第一主動擴散區域208及第二主動擴散區域210。第一及第二主動擴散區域208、210可包括鰭結構,該些鰭結構安置於基板(未示出)上且充當單元201中的電晶體(例如,場效電晶體)的主動區域。確切地說,鰭結構可在定位在多晶矽(「聚矽(poly)」)線212a、212b、212c之下時充當電晶體的通道區域及/或在定位在金屬條帶之下時充當源極區域或汲極區域。在一非限制性實例中,第一主動擴散區域208為p型電晶體的源極/汲極區域且第二主動擴散區域210為n型電晶體的源極/汲極區域。聚矽線212a、212b、212c安置在y方向上且充當單元201中的電晶體的閘極電極。
金屬擴散(Metal-to-diffusion;MD)區域214a、214b、214c係在第一及/或第二主動擴散區域208、210上方且在聚矽線212a、212b、212c之間在y方向上安置。特別地,MD區域214a定位在第一主動擴散區域208上方,MD區域214b定位在第一及第二主動擴散區域208、210上方,且MD區域214c定位在第二主動擴散區域210上方。元件216a、216b、216c、216d安置在x方向上且包括於切割聚矽層中。元件216a、216b、216c、216d在元件216a、216b、216c、216d與聚矽線212a、212b、212c重疊的位置處切除或移除聚矽線212a、212b、212c。觸點218 (CONTACT2)提供電力條帶202a與MD區域214a之間的接觸。
觸點220 (CONTACT2)提供MD區域214b與金屬條帶202b之間的接觸。觸點222 (CONTACT2)提供MD區域214c與金屬條帶202e之間的接觸。觸點224 (CONTACT1)提供金屬條帶202d與聚矽線212b之間的接觸。在一個實施例中,CONTACT1觸點的位置係由工程師或設計者判定且在佈局初始版本中,且CONTACT2觸點218、220、222的位置係由電氣設計自動化工具判定且添加至該佈局初始版本以產生單元結構200的(最終)佈局。
在圖示的實施例中,由第一電壓源供應的第一電壓信號係由ML1層中的電力條帶206e提供且由第二電壓源供應的第二電壓信號係由ML3層中的電力條帶206e提供。另外,ML1層202的佈局相對於ML3層206的佈局不對稱。舉例而言,ML1層202具有可用於信號的N個金屬條帶(例如,三個金屬條帶202b、202c、202d),而ML3層206具有可用於信號的M個金屬條帶(例如,四個金屬條帶206a、206b、206c、206d),其中N小於M。在ML1層中包括一個電力條帶202a且在ML1層202中使用用於信號的N個金屬條帶允許電力條帶202a的寬度W1較大,此使電力條帶202a的總面積增大。增大的總面積減小電力條帶202a上的IR壓降。在一非限制性實例中,電力條帶202a的寬度W1可為三十(30)奈米(nm)。
另外或替代地,電力條帶206e的寬度W2可較大,此係因為電力條帶206e在ML3層中,ML3層為不同於ML1層的金屬層。電力條帶206e的較大寬度W2使電力條帶206e的總面積增大,且電力條帶206e的增大的總面積減小電力條帶206e上的IR壓降。在一非限制性實例中,電力條帶的寬度可為二十四(24) nm。
第3圖根據一些實施例描繪積體電路的實例佈局的一部分。積體電路包括諸如以下各者的組件:一或多個反及電路、一或多個及電路、一或多個或電路、一或多個反或電路及/或一或多個反相器。在圖示的實施例中,佈局300包括處於ML1層202中、安置在x方向上的金屬條帶202’,及處於ML2層204中、在y方向上安置於ML1層202上方的金屬條帶204’。ML1層202中的電力條帶202a用於在積體電路中發送由第一電壓源供應的第一電壓信號(例如,VDD)。ML1層202中的金屬條帶202b包括在切割金屬層中且用於在金屬條帶202b與個別金屬條帶204’重疊的位置處切除或移除ML2層204中的個別金屬條帶204’。ML2層204中的金屬條帶204a用於在ML2層204內發送由第二電壓源供應的第二電壓信號(例如,VSS或接地)且用於將第二電壓源連接至ML3金屬層。
每一觸點302 (CONTACT1)提供ML1層202與ML2層204之間的接觸。每一觸點304 (CONTACT2)提供ML1層202與ML2層204之間的接觸。觸點304a (CONTACT2)提供ML1層202與提供第二電壓信號的金屬條帶204a之間的接觸。在一個實施例中,該些CONTACT1觸點的位置在該積體電路的佈局初始版本中且該些CONTACT2觸點的位置係由電氣設計自動化工具判定且添加至該佈局初始版本以產生佈局300。
第4圖根據一些實施例圖示第一金屬層的實例第一單元結構定義。如先前所描述,在一個實施例中,ML1層202為M0層且安置在x方向上。第一單元結構400亦包括在y方向上安置於ML1層202上方的聚矽線212a、212b、212c、212d、212e。
所圖示的ML1層202的第一單元結構400包括以下各者:電力條帶202a,該電力條帶用以連接至第一電壓源(例如,VDD)以供應第一電壓信號;用於信號的金屬條帶202b、202c、202d;以及金屬條帶202e,該金屬條帶用於信號及/或用作為第二電壓信號(例如,VSS或接地)的內部信號線。電力條帶202a以延伸部分403在y方向上延伸超出單元邊界402。延伸部分403可由鄰近單元(例如,在y方向上在該單元之上且毗鄰該單元的另一單元)共用。因此,電力條帶202a可由兩個鄰近單元共用。
如所示,金屬條帶202e以用於電源繞線的第一延伸部分404及第二延伸部分406在x方向上延伸超出單元邊界402。如結合第18圖及第19圖將更詳細地描述,第一及第二延伸部分404、406係在由兩個鄰近單元共用的空間(「共用空間」)中實施。金屬條帶202e中的第一及第二延伸部分404、406考慮到金屬條帶202e與上部金屬層(例如,ML3層206)之間的連接的數目增加。在一非限制性實例中,可操作以提供第二電壓信號的ML3層中的金屬條帶206e可連接至第一及第二延伸部分404、406以在內部將第二電壓信號提供至單元。第一延伸部分404的長度可等於或不同於第二延伸部分406的長度。在一個實施例中,第一及第二延伸部分404、406的長度在近似0.5至1倍的接觸聚矽間距(contacted poly pitch;CPP) (例如,電晶體閘極間距)的範圍內。在第4圖中,距離408表示聚矽間距,且可為CPP。
在一個實施例中,單元高度(單元高度;CH) 410可由方程式CH = (信號軌道數目 + 0.5) x ML1間距 + (0.5 x ML1_PW(VDD)) + ML1_PW(VSS)界定,其中ML1間距為412 (ML1層202中的信號軌道的間距),ML1_PW(VDD)為電力條帶202a的寬度414,且ML_PW(VSS)為金屬條帶202e的寬度416。在一非限制性實例中,CH 410在近似一百(100)至一百三十(130) nm的範圍內。電力條帶202a的寬度414 (ML1_PW(VDD))在近似(0.25 x CH)至(0.3 x CH)的範圍內。如早前所論述,電力條帶202a的面積可減小VDD IR壓降。為了進一步減小IR壓降,金屬條帶202e的寬度416 (ML1_PW(VSS))可在近似(0.15 x CH)至(0.2 x CH)的範圍內。
在一些實施例中,金屬條帶202e分裂成多個金屬片段418、420、422。金屬片段418、422用以連接至第二電壓源以提供第二電壓信號(例如,VSS或接地)且金屬片段420係用於信號。與金屬片段418、420與單元邊界402之間的分裂位置424的距離及與金屬片段420、422與單元邊界402之間的分裂位置426的距離為一個(1) CPP,儘管其他實施例不限於此距離。
第5圖根據一些實施例描繪第一金屬層的實例第二單元結構定義。除了ML1層202中的3.5個金屬條帶202b、202c、202d、502之外,第5圖所示的第二單元結構定義500類似於第4圖所示的第一單元結構400。在一個實施例中,該些金屬條帶中的一者為用於電力及信號兩者的共用信號線。
在一非限制性實例中,第二單元結構定義500可與四輸入電路(諸如例如四輸入反及或四輸入或電路)一起使用。第5圖亦圖示一個CPP 504的實例及一個半(1.5) CPP 506的實例。在一個實施例中,單元高度410、ML1間距412、寬度414 (ML1_PW(VDD))及寬度416 (ML1_PW(VSS))係如結合第4圖所描述地組態。
第6圖根據一些實施例圖示第二金屬層的實例第一單元結構定義。如早前所描述,在一個實施例中,ML2層204為積體電路中的M1層。另外或替代地,ML2層204係用於發送第二電壓信號(例如,VSS或接地)。
在圖示的實施例中,第一單元結構600包括第一金屬條帶204a、第二金屬條帶204b、安置在y方向上的聚矽線212a、212b、212c及在聚矽線212a、212b、212c之間、亦安置在y方向上的MD區域214a、214b。ML2層204的圖案係由CPP:M1間距的比率界定,該比率在圖示的實施例中為1:1。第6圖亦展示聚矽間距602及ML2層間距604。另外,金屬條帶204a、204b可對準至包括MD區域214a、214b的MD層。
第7圖根據一些實施例描繪第二金屬層的實例第二單元結構定義。實例第二單元結構700包括第一金屬條帶204a、第二金屬條帶204b、第三金屬條帶204c、安置在y方向上的聚矽線212a、212b、212c及在聚矽線212a、212b、212c之間在y方向上安置的MD區域214a、214b。ML2層204的圖案係由CPP:M1間距比率界定,該比率在圖示的實施例中為3:2。第7圖亦展示聚矽間距702及ML2層間距704。另外,金屬條帶204a、204b可對準至包括MD區域214a、214b的MD層。
第8圖根據一些實施例圖示第二金屬層的實例第三單元結構定義。代表性第三單元結構800包括第一金屬條帶204a、第二金屬條帶204b、第三金屬條帶204c、第四金屬條帶204d、安置在y方向上的聚矽線212a、212b、212c及在聚矽線212a、212b、212c之間在y方向上安置的MD區域214a、214b。ML2層204的圖案係由CPP:M1間距比率界定,該比率在圖示的實施例中為3:2。第8圖亦展示聚矽間距802及ML2層間距804。另外,金屬條帶204a、204b可對準至包括聚矽線212a、212b、212c的聚矽層。
第9圖根據一些實施例描繪第三金屬層的實例第一單元結構定義。兩個鄰近單元900、902在第9圖中示出,且單元902中的第一單元結構定義904係單元900中的第一單元結構定義904的鏡像。ML3層206的實例第一單元結構定義904包括安置在x方向上的金屬條帶206a、206b、206c、206d及電力條帶206e。聚矽線212a、212b及212c在金屬條帶206a、206b、206c、206d及電力條帶206e之下安置在y方向上。如結合第2圖所論述,電力條帶206e用以連接至第二電壓源(例如,VSS或接地)以提供第二電壓信號,且金屬條帶206a、206b、206c及206d係用於各種信號。在第9圖中,單元900中的電力條帶206e定位在毗鄰單元902的單元邊緣908的單元邊緣906處。換言之,電力條帶206e安置在兩個鄰近單元900、902的毗鄰單元邊緣906、908處。
在一個實施例中,單元高度(CH) 910係由方程式CH = 信號軌道數目 x (ML3間距 + 0.5 x ML3_PW(VSS))判定,其中信號軌道數目為用於信號的金屬條帶的數目912,ML3間距為ML3層的間距914,且ML3_PW(VSS)為電力條帶206e的寬度916。在一非限制性實例中,電力條帶206e的寬度916在近似(0.25 x CH)至(0.3 x CH)的範圍內。如早前所論述,在一些實施例中,寬度916可減小VSS IR壓降。
第10圖根據一些實施例圖示第三金屬層的實例第二單元結構定義。兩個鄰近單元1002、1004在第10圖中示出,且單元1004中的第二單元結構定義1000為單元1002的第二單元結構定義1000的鏡像。除了提供第二電壓信號(例如,VSS或接地)的電力條帶206e的位置之外,第10圖所示的實例第二單元結構定義1000類似於第9圖所示的第一單元結構定義904。
每一單元1002、1004包括分別處於單元1002、1004的非毗鄰單元邊緣1006、1008處的電力條帶206e。儘管單元邊緣1006不毗鄰單元邊緣1008 (因此「非毗鄰單元邊緣」),單元邊緣1006、1008可各自毗鄰在y方向是分別鄰近於單元1002、1004的單元(未示出)的單元邊緣。每一電力條帶206e可與鄰近單元(例如,在單元1002之上的單元及在單元1004之下的單元)共用。在一個實施例中,單元高度910、ML3間距914及寬度916 (ML3_PW (VSS))係如結合第9圖所描述地判定。
第11A圖至第11C圖根據一些實施例描繪第一單元的實例單元結構。在一非限制性實例中,該第一單元可實施為四輸入與或反電路。第11A圖根據一些實施例圖示第一單元中的第一金屬層的實例佈局。佈局1100包括ML1層中的安置在x方向上的電力條帶202a及金屬條帶202b、202c、202d、202e。 力條帶202a用以連接至第一電壓源(例如,VDD)以提供第一電壓信號,且金屬條帶202b、202c、202d係用於各種信號。與第4圖所示的實施例相同,金屬條帶202e係用於信號及第二電壓信號(例如,VSS或接地)的內部信號線兩者。金屬條帶202e經劃分成金屬片段418、420、422,其中金屬片段418、422在內部將第二電壓信號提供至第一單元且金屬片段420係用於信號。
如所示,對於電源繞線,電力條帶202a以延伸部分403在y方向上延伸超出單元邊界1102的第一側,金屬片段418以第一延伸部分404在x方向上延伸超出單元邊界1102的第二側,且金屬片段422以第二延伸部分406在x方向上延伸超出單元邊界1102的相對側(第三側)。延伸部分403使電力條帶202a能夠與鄰近單元(例如,在第一單元之上的單元)共用。金屬條帶202e中的第一及第二延伸部分404、406考慮到金屬條帶202e與上部金屬層(例如,ML3層206)之間的連接的數目增加。在一非限制性實例中,ML3層中的電力條帶206e (參見第11C圖)用以連接至第二電壓源以供應第二電壓信號,可連接至第一及第二延伸部分404、406以在內部將第二電壓信號提供至第一單元。另外或替代地,第一或第二延伸部分404、406中的一者或兩者可與鄰近單元(例如,在第一單元左邊及/或右邊的單元)共用。
佈局1100亦包括安置在x方向上的第一主動擴散區域208及第二主動擴散區域210。在一個實施例中,第一及第二主動擴散區域208、210包括鰭結構,該些鰭結構安置在基板(未示出)上且充當第一單元中的電晶體(例如,場效電晶體)的主動區域。聚矽線212a、212b、212c、212d、212e、212f在ML1層之下安置在y方向上且充當第一單元中的電晶體的閘極電極。
金屬擴散(Metal-to-diffusion;MD)區域214a、214b、214c、214d、214e、214f、214g、214h、214i、214j在第一及/或第二主動擴散區域208、210上方且在聚矽線212a、212b、212c、212d、212e、212f之間安置在y方向上。特別地,MD區域214a、214b、214c、214d、214e定位在第一主動擴散區域208上方,且MD區域214f、214g、214h、214i、214j安置在第二主動擴散區域210上方。
觸點224a、224b、224c、224d (CONTACT1)各自提供至各自聚矽線212b、212c、212d、212e的觸點。觸點220a、220b、220c (CONTACT2)各自提供MD區域214a、214c、214e分別與金屬條帶202b之間的接觸。觸點222a (CONTACT2)提供MD區域214f與金屬條帶202e中的金屬片段418之間的接觸。觸點222b (CONTACT2)提供MD區域214h與金屬條帶202e中的金屬片段420之間的接觸。觸點222c (CONTACT2)提供MD區域214j與金屬條帶202e中的金屬片段422之間的接觸。觸點1104a (CONTACT2)提供電力條帶202a與MD區域214b之間的接觸。觸點1104b (CONTACT2)提供金屬條帶202c與MD區域214d之間的接觸。
第11B圖根據一些實施例圖示第一單元的第二金屬層的實例佈局。佈局1106描繪安置在x方向上的ML1層的電力條帶202a及金屬條帶202b、202c、202d、202e。ML2層的金屬條帶204a、204b、204c在y方向上定位在ML1層上方。聚矽線212a、212b、212c、212d、212e、212f安置在y方向上。
觸點1108a、1108b、1108c、1108d各自提供ML2層與ML1層之間的接觸。特別地,觸點1108a為金屬條帶204a與金屬條帶202d之間的觸點。觸點1108b為金屬條帶204b與金屬條帶202c之間的觸點。觸點1108c為金屬條帶204b與金屬條帶202e之間的觸點。觸點1108d為金屬條帶204c與金屬條帶202d之間的觸點。
在一個實施例中,CONTACT1觸點224a、224b、224c、224d (第11A圖)的位置係由工程師或設計者判定且在佈局初始版本中,且CONTACT2觸點220a、220b、220c、222a、222b、222c、1104a、1104b、1108a、1108b、1108c、1108d (第11A圖及第11B圖)的位置係由電氣設計自動化工具判定且添加至該佈局初始版本以產生佈局1100及1106。
第11C圖根據一些實施例描繪第一單元的第三金屬層的實例佈局。佈局1110展示定位在y方向上的ML2層的金屬條帶204a、204b、204c及安置在y方向上的聚矽線212a、212b、212c、212d、212e、212f。ML3層的電力條帶206e在ML2層上方安置在x方向上。電力條帶206e以延伸部分1112在y方向上延伸超出單元邊界1102且由鄰近單元(例如,在第一單元之下的單元)共用。電力條帶206e將第二電壓信號(例如,VSS或接地)提供至第一單元。
第12A圖至第12C圖根據一些實施例圖示第二單元的實例單元結構。在一非限制性實例中,第二單元可實施為反相器電路。第12A圖根據一些實施例圖示第二單元中的第一金屬層的實例佈局。佈局1200包括安置在x方向上的ML1層的電力條帶202a及金屬條帶202b、202c、202d、202e。與第11A圖類似,電力條帶202a可操作以連接至第一電壓源(例如,VDD)以供應第一電壓信號且金屬條帶202b、202c、202d係用於各種信號。在圖示的實施例中,金屬條帶202e係未劃分成金屬片段的不中斷金屬條帶。金屬條帶202e被用作第二電壓信號(例如,VSS或接地)的內部信號線。
如所示,對於電源繞線,電力條帶202a以延伸部分403在y方向上延伸超出單元邊界1202的第一側,且金屬條帶202e以第一延伸部分404在x方向上延伸超出單元邊界1202的第二側且以第二延伸部分406在x方向上延伸超出單元邊界1202的相對側(例如,第三側)。延伸部分403使電力條帶202a能夠與鄰近單元(例如,在第二單元之上的單元)共用。第一及第二延伸部分404、406考慮到金屬條帶202e與上部金屬層(例如,ML3層206)之間的連接的數目增加。在一非限制性實例中,可操作以連接至第二電壓源以供應第二電壓信號的ML3層中的電力條帶206e (第12C圖)可連接至第一及第二延伸部分404、406以在內部將第二電壓信號提供至第二單元。另外或替代地,第一或第二延伸部分404、406中的一者或兩者可與鄰近單元(例如,在第二單元左邊及/或右邊的單元)共用。第一延伸部分404的長度可等於或不同於第二延伸部分406的長度。
佈局1200亦包括安置在x方向上的第一主動擴散區域208及第二主動擴散區域210。在一個實施例中,第一及第二主動擴散區域208、210包括鰭結構,該些鰭結構安置在基板(未示出)上且充當第二單元中的電晶體(例如,場效電晶體)的主動區域。聚矽線212a、212b、212c在ML1層之下安置在y方向上且充當第二單元中的電晶體的閘極電極。
金屬擴散(Metal-to-diffusion;MD)區域214a、214b、214c在第一及/或第二主動擴散區域208、210上方且在聚矽線212a、212b、212c之間安置在y方向上。特別地,MD區域214a定位在第一主動擴散區域208及第二主動擴散區域210上方。MD區域214b定位在第一主動擴散區域208上方,且MD區域214c定位在第二主動擴散區域210上方。
觸點224a (CONTACT1)提供至聚矽線212b的接觸。觸點220a (CONTACT2)提供MD區域214a與金屬條帶202b之間的接觸。觸點222a (CONTACT2)提供MD區域214c與金屬條帶202e之間的接觸。觸點1104a (CONTACT2)提供電力條帶202a與MD區域214b之間的接觸。
第12B圖根據一些實施例描繪第二單元的第二金屬層的實例佈局。佈局1204描繪安置在x方向上的ML1層的電力條帶202a及金屬條帶202b、202c、202d、202e。ML2層的金屬條帶204a在ML1層上方定位在y方向上。聚矽線212a、212b、212c安置在y方向上。
觸點(CONTACT2) 1108a提供ML2層中的金屬條帶204a與ML1層中的金屬條帶202b之間的接觸。在一個實施例中,CONTACT1觸點224a (第12A圖)的位置係由工程師或設計者判定且在佈局初始版本中,且CONTACT2觸點220a、222a、1104a、1108a的位置係由電氣設計自動化工具判定且添加至該佈局初始版本以產生佈局1200及1204。
第12C圖根據一些實施例圖示第二單元的第三金屬層的實例佈局。佈局1206展示定位在y方向上的ML2層的金屬條帶204a及定位在y方向上的聚矽線212a、212b、212c。ML3層的電力條帶206e在ML2層上方安置在x方向上。電力條帶206e將第二電壓信號(例如,VSS或接地)提供至第二單元。電力條帶206e以延伸部分1112在y方向上延伸超出單元邊界1202的第四側,使得電力條帶206e由鄰近單元(例如,在第二單元之下的單元)共用。
第13A圖至第13C圖根據一些實施例圖示第三單元的實例單元結構。在一非限制性實例中,第三單元可實施為反及電路。第13A圖根據一些實施例描繪第三單元的第一金屬層的實例佈局。佈局1300包括安置在x方向上的ML1層的電力條帶202a及金屬條帶202b、202c、202d、202e。電力條帶202a可操作以連接至第一電壓源(例如,VDD)以供應第一電壓信號,且金屬條帶202b、202c、202d係用於各種信號。與第12A圖相同,金屬條帶202e係用作第二電壓信號(例如,VSS或接地)的內部信號線的不中斷條。
如所示,對於電源繞線,電力條帶202a以延伸部分403在y方向上延伸超出單元邊界1302的第一側,且金屬條帶202e以第一延伸部分404在x方向上延伸超出單元邊界1302的第二側且以第二延伸部分406在x方向上延伸超出單元邊界1302的相對側(例如,第三側)。延伸部分403使電力條帶202a能夠與鄰近單元(例如,在第三單元之上的單元)共用。金屬條帶202e中的第一及第二延伸部分404、406考慮到金屬條帶202e與上部金屬層(例如,ML3層206)之間的連接的數目增加。在一非限制性實例中,用以連接至第二電壓源以供應第二電壓信號的ML3層中的金屬條帶206e (第13C圖)可連接至第一及第二延伸部分404、406以在內部將第二電壓信號提供至第一單元。另外或替代地,第一或第二延伸部分404、406中的一者或兩者可與鄰近單元(例如,在第三單元左邊及/或右邊的單元)共用。第一延伸部分404的長度可等於或不同於第二延伸部分406的長度。
佈局1300亦包括安置在x方向上的第一主動擴散區域208及該第二主動擴散區域210。在一個實施例中,第一及第二主動擴散區域208、210包括鰭結構,該些鰭結構安置在基板(未示出)上且充當第三單元中的電晶體(例如,場效電晶體)的主動區域。聚矽線212a、212b、212c、212d在ML1層之下安置在y方向上且充當第二單元中的電晶體的閘極電極。
金屬擴散(Metal-to-diffusion;MD)區域214a、214b、214c、214d、214e係在第一及/或第二主動擴散區域208、210上方且在聚矽線212a、212b、212c、212d之間安置在y方向上。特別地,MD區域214a定位在第一主動擴散區域208及第二主動擴散區域210上方。MD區域214b、214c安置在第一主動擴散區域208上方,且MD區域214d、214e定位在第二主動擴散區域210上方。
觸點224a、224b (CONTACT1)各自提供至各自聚矽線212b、212c的接觸。觸點220a (CONTACT2)提供MD區域214a與金屬條帶202b之間的接觸。觸點220b (CONTACT2)提供MD區域214c與金屬條帶202b之間的接觸。觸點222a (CONTACT2)提供MD區域214e與金屬條帶202e之間的接觸。觸點1104a (CONTACT2)提供電力條帶202a與MD區域214b之間的接觸。
第13B圖根據一些實施例圖示第三單元的第二金屬層的實例佈局。佈局1304描繪安置在x方向上的ML1層的電力條帶202a及金屬條帶202b、202c、202d、202e。ML2層的金屬條帶204a在ML1層上方定位在y方向上。聚矽線212a、212b、212c、212d安置在y方向上。
觸點1108a提供ML2層中的金屬條帶204a與ML1層中的金屬條帶202b之間的接觸。在一個實施例中,CONTACT1觸點224a、224b (第13A圖)的位置係由工程師或設計者判定且在佈局初始版本中,且CONTACT2觸點220a、220b、222a、1104a、1108a的位置係由電氣設計自動化工具判定且添加至該佈局初始版本以產生佈局1300及1304。
第13C圖根據一些實施例描繪第三單元的第三金屬層的實例佈局。佈局1306展示定位在y方向上的ML2層的金屬條帶204a及安置在y方向上的聚矽線212a、212b、212c、212d。ML3層的電力條帶206e在ML2層上方安置在x方向上。電力條帶206e可操作以連接至第二電壓源以將第二電壓信號(例如,VSS或接地)供應至第三單元。電力條帶206e以延伸部分1112在y方向上延伸超出單元邊界1302的第四側且由鄰近單元(例如,在第三單元之下的單元)共用。
第14A圖根據一些實施例圖示積體電路的電力分配網路的實例佈局。第14A圖將結合第14B圖及第14C圖來描述。根據一些實施例,第14B圖描繪第四單元的第一金屬層中的電力條帶的實例佈局且第14C圖圖示第14A圖所示的實例佈局的一部分的放大視圖。
在一些實施例中,電力分配網路係在將第四單元置放在晶片上之前產生。佈局1400包括安置在x方向上的ML1層的兩個第一電力條帶1402_PG。ML3層的第二電力條帶1404_PG安置在x方向上。如所示,第二電力條帶1404_PG定位在該兩個第一電力條帶1402_PG之間。在一非限制性實例中,第一電力條帶1402_PG為第2圖所示的電力條帶202a,且第二電力條帶1404_PG為第2圖所示的電力條帶206e。
第14B圖描繪第四單元1406中的第一電力條帶1402_cell,且第14C圖為第14A圖所示的的區域1407的放大視圖。第14C圖圖示第四單元中的第二電力條帶1404_cell。為了將第四單元1406定位在晶片上的正確位置,1402_cell及1404_cell與1402_PG及1404_PG對準,使得1402_cell及1404_cell與1402_PG及1404_PG重疊。1402_cell及1404_cell與1402_PG及1404_PG連接。
佈局1400進一步描繪將ML3層連接至第一電力條帶1402_PG以將第一電壓信號(例如,VDD)提供至ML3層的實例方法。如第14A圖所示,M3柱1408形成於ML3層中且安置在x方向上。M3柱1408為短金屬片段且用於提供至第一電力條帶1402_PG的連接。M3柱1408佔用或消耗較少的繞線資源。
ML2層的金屬條帶1410安置在y方向上且將ML3層中的M3柱1408連接至第一電力條帶1402_PG。金屬條帶1410可提供具有較低電阻的單元電力連接或PG連接。在一非限制性實例中,單元電力連接係由電子設計自動化工具判定。在一個實施例中,第二電力條帶1404_cell的寬度ML3_PW(VSS) = 1~2 x (M1柱的寬度(ML1_PW(VSS))。
第15圖根據一些實施例描繪第14A圖所示的第一電力條帶的第一電源結構定義的實例佈局。佈局1500包括安置在x方向上的多個第一電力條帶1402 (例如,電力條帶202a)。在圖示的實施例中,第一電力條帶1402的間距1502為(2 x CH),儘管其他實施例不限於此間距。而且,代表性佈局1500不包括用於第二電壓信號(例如,VSS或接地)的ML1層中的電力條帶。
第16圖根據一些實施例圖示第14A圖所示的第二金屬層中的金屬條帶的第二電源結構定義的實例佈局。佈局1600包括安置在x方向上的ML1層中的第一電力條帶1402及定位在y方向上的ML2層中的金屬條帶1410。在圖示的實施例中,金屬條帶1410提供第一電壓信號(例如,VDD)且金屬條帶1410的間距1602為近似16至24倍的聚矽間距,儘管其他實施例不限於此間距。而且,代表性佈局1600未描繪用於第二電壓信號(例如,VSS或接地)的ML2層中的金屬條帶,此係因為,在一個實施例中,用於第二電壓信號的金屬條帶係由電氣設計自動化工具設計。
第17圖根據一些實施例描繪第14A圖所示的第二電力條帶的第三電源結構定義的實例佈局。佈局1700包括ML1層中的第一電力條帶1402、ML2層中的金屬條帶1410、ML3層中的第二電力條帶1404及M3柱1408。在圖示的實施例中,第二電力條帶1404的間距1702為(2 x CH),儘管其他實施例不限於此間距。而且,代表性佈局1700未描繪用於第二電壓信號(例如,VSS或接地)的ML2層中的金屬條帶、至電力條帶1404的任何觸點及至M3柱的任何額外觸點,此係因為,在一些實施例中,用於第二電壓信號的金屬條帶、至電力條帶1404的觸點、至M3柱的額外觸點係由電氣設計自動化工具設計。
第18圖根據一些實施例圖示積體電路的一區段的佈局,該區段包括填充物單元及用於第二電壓信號的延長金屬條帶。佈局1800包括單元1802、信號線1804、用於第一電壓信號(例如,VDD)的第一電力條帶1806 (例如,第2圖中的202a)及用於第二電壓信號(例如,VSS或接地)的第二電力條帶1808 (例如,第2圖中的206e)。在一個實施例中,單元1802可包括第一電力條帶202a,如第4圖的佈局所示,且所描繪的信號線1804、第一電力條帶1806及第二電力條帶1808可在ML1層中。
佈局1800進一步包括填充物單元1810。填充物單元1810包括單元1802中的全部組件,但填充物單元1810不含主動區域與金屬層之間的連接。填充物單元1810係用於維持層密度以在製造/處理操作期間改良良率。
在一些例子中,第二電力條帶1808由兩個鄰近單元共用(參見第19圖)。如虛橢圓1812內的區域中所示,共用的第二電力條帶1808’跨越填充物單元1810延伸至兩個鄰近單元1802a、1802b。在一個實施例中,共用的電力條帶1808’包括第4圖中的第一及第二延伸部分404、406 (或由該些延伸部分形成)。舉例而言,一個單元1802a中的金屬片段422及鄰近單元1802b中的金屬片段418形成一個片段1808’(或包括在該一個片段中),且該一個片段1808’駐留在兩個鄰近單元1802a、1802b中及個別填充物單元1810中。另外或替代地,第一電力條帶1806 (例如,第4圖中的第一電力條帶202a)由鄰近單元1802c、1802d共用且駐留在鄰近單元1802c、1802d中。
第20圖根據一些實施例描繪用於設計積體電路中的單元的方法的流程圖。最初,(導致)接收或提供該單元的一單元佈局(區塊2000)。該單元佈局可包括用於一特定單元類型的不同金屬層(例如,ML1層、ML2層、ML3層)的金屬條帶,其中該單元類型可為積體電路中的該單元相關聯的組件的類型。實例單元類型包括(但不限於)及電路、反或電路、反及電路、或電路及反相器電路。
接下來,如區塊2002所示,提供或接收(或導致接收)該單元中的電源結構。該些電源結構界定供應該單元中的電壓信號的電力條帶的佈局。本文中所描述的實施例包括一第一電壓信號(例如,VDD)及一第二電壓信號(VSS或接地)。實例電源結構係結合第15圖至第17圖來描述。
在區塊2004,判定或導致判定一或多個觸點的置放。實例觸點包括至金屬條帶、電力條帶、聚矽線及/或主動擴散區域的觸點。初始佈局在區塊2004完成之後產生。
在區塊2006,合成(或導致合成)用於初始佈局的一或多個時脈信號。在一個實施例中,使用電氣設計自動化工具來合成該(該些)時脈信號。合成該些時脈信號,使得可判定該初始佈局的功能性及功能性上的任何問題。舉例而言,能夠判定ML1層中的用於第二電壓信號的電力條帶中的一第一或一第二延伸部分(例如,第4圖中的延伸部分404或406)是否接觸用於信號的金屬條帶,該接觸可造成單元的操作問題。
一旦該一或多個時脈信號經合成,即在區塊2008判定(或導致判定)用於信號的金屬條帶及/或額外觸點的繞線以產生一最終佈局。在一個實施例中,區塊2008係由電氣設計自動化工具執行,同時電氣設計自動化工具合成該(該些)時脈信號。
在區塊2010作出關於是否處理相同或不同單元類型的另一單元的判定。若處理,則該方法返回區塊2000,且區塊2000、2002、2004、2006、2008、2010重複,直至所有單元已設計或經處理。當所有單元已設計時,程序在區塊2012繼續,在區塊2012,所有單元的最終佈局共同形成IC的最終佈局。驗證IC的最終佈局且基於該最終佈局來製造IC。
第21圖根據一些實施例圖示用於積體電路中的ML1層及ML2層的實例電源繞線。電源繞線2100描繪ML1層中的金屬條帶2102及ML2層中的金屬條帶2104的繞線。ML2層中的電力條帶2106用以供應第一電壓信號(例如,VDD),且ML2層中的電力條帶2108用以提供第二電壓信號(例如,VSS或接地)。觸點2110及觸點2112提供ML1層中的金屬條帶2102與ML2層中的金屬條帶2104或電力條帶2106、2108之間的接觸。如早前所描述,觸點2112的置放可由電氣設計自動化工具判定。
第22圖根據一些實施例描繪用於第21圖所示的積體電路中的ML3層的實例電源繞線。佈局2200包括ML1層及ML2層中的金屬條帶、電力條帶及觸點,且描繪ML3層中的電力條帶的實例繞線。在一個實施例中,電力條帶2202可操作以提供第二電壓信號(例如,VSS或接地)。第二電壓信號係經由至ML1層中的電力條帶2108 (例如,第2圖中的金屬條帶202e)的觸點2204提供至電力條帶。在第22圖中,電力條帶2108展示在電力條帶2202之上以強調電力條帶2108。實務上,電力條帶2108係在電力條帶2202之下形成,此係因為電力條帶2108在ML2層中,而電力條帶2202在ML3層中。
第23圖根據一些實施例圖示積體電路的第一佈局,該第一佈局用於描述減小電力條帶上的IR壓降的方法。在一個實施例中,一填充物單元可用於減小電力條帶的長度,此減小電力條帶上的IR壓降。如第23圖所示,佈局2300包括單元2304a、2304b、2304c、2304d、2304e、2304f、2304g的一排2302。ML1層中的金屬條帶2306 (例如,第2圖中的金屬條帶202e)係藉由插入具有非操作組件的一填充物單元2308而經實體切割。填充物單元2308將金屬條帶2306劃分成多個金屬片段。實例填充物單元2308包括ML1層中的金屬條帶2310,但不包括金屬條帶2306。
在一些例子中,不包括金屬層的填充物單元可用於減小電力條帶上的IR壓降。第24圖根據一些實施例描繪積體電路的第二佈局,該第二佈局用於描述減小電力條帶上的IR壓降的另一方法。佈局2400包括單元2404a、2404b、2404c的一排2402。ML1層中的金屬條帶2406 (例如,第2圖中的金屬條帶202e)可操作以連接至第二電壓源以供應第二電壓信號(例如,VSS或接地)。填充物單元2408用於破壞金屬條帶2406 (例如,分成多個金屬片段)。圖示的填充物單元2408不包括ML1層中的任何金屬條帶。
第25圖根據一些實施例圖示第24圖所示的佈局中的單元。單元2500包括可操作以連接至第一電壓源以供應第一電壓信號的第一電力條帶2502 (例如,第2圖中的電力條帶202a),及用於信號的金屬條帶2504。用於第二電壓信號的ML1層中的金屬條帶2506 (例如,第2圖中的金屬條帶202e)亦在單元2500中。在圖示的實施例中,第一電力條帶2502與在單元2500之上且毗鄰單元2500的單元共用,但金屬條帶2506不包括任何延伸部分(例如,第4圖中的延伸部分404、406)。
第26圖根據一些實施例描繪單元中的各種層及單元中的主動擴散區域與頂部金屬層之間的連接。在圖示的實施例中,頂部金屬層為ML2層。第26圖展示作為第一連接結構2600的MD區域至ML2層連接及作為第二連接結構2602的聚矽線至ML2層連接。為清楚起見,第26圖省略可包括在連接結構2600、2602中的其他層及組件。
在第一連接結構2600中,MD區域216定位在主動擴散區域208 (或主動擴散區域210)上方。如先前所描述,主動擴散區域(例如,第2圖中的主動擴散區域208、210)可包括一或多個鰭結構,該一或多個鰭結構安置在基板(未示出)上且充當單元中的電晶體(例如,場效電晶體)的主動區域。
導電性介層孔擴散(「via-to-diffusion;VD」)區域2604定位在MD區域216上方。VD區域2604可將MD區域216連接至ML1層中的金屬條帶202 (例如,金屬條帶202b),其中ML1層定位在VD區域2604上方。觸點220 (例如,觸點220a)定位在金屬條帶202上方。ML2層中的金屬條帶204 (例如,金屬條帶204a)定位在觸點220上方。觸點220提供ML1層中的金屬條帶202與ML2層中的金屬條帶204之間的接觸。
在第二連接結構2602中,聚矽線212定位在主動擴散區域208 (或主動擴散區域210)上方。導電性介層孔至閘極(「via-to-gate;VG」)區域2606定位在聚矽線212上方。VG區域2606將聚矽線212 (例如,聚矽閘極)連接至ML1層中的金屬條帶202 (例如,金屬條帶202d),其中ML1層定位在VG區域2606上方。觸點220 (例如,觸點220b)定位在金屬條帶202上方。ML2層中的金屬條帶204 (例如,金屬條帶204b)定位在觸點220上方。觸點220提供ML1層中的金屬條帶202與ML2層中的金屬條帶204之間的接觸。
第27圖根據一些實施例圖示藉由第一設計程序產生的第26圖所示的單元的第一佈局。佈局2700包括安置在x方向上的第一主動擴散區域208及第二主動擴散區域210。在一非限制性實例中,第一主動擴散區域208為p型電晶體的源極/汲極區域,而第二主動擴散區域210為n型電晶體的源極/汲極區域。ML1層202在x方向上安置在第一及第二主動擴散區域208、210上方。特別地,ML1層202包括金屬條帶202b、202d。
MD區域216在y方向上定位在第一及第二主動擴散區域208、210上方。VD區域2604及觸點220安置在MD區域216上方。VD區域2604在第27圖中不可見,此係因為VD區域2604在觸點220之下。儘管在第27圖中未示出,但ML2層的金屬條帶204將定位在觸點220上方。
聚矽線212在y方向上定位在第一及第二主動擴散區域208、210上方。VG區域2606及觸點220安置在聚矽線212上方。VG區域2606在第27圖中不可見,此係因為VG區域2606在觸點220之下。儘管在第27圖中未示出,但ML2層的金屬條帶204將定位在觸點220上方。
第28圖根據一些實施例描繪藉由第二設計程序產生的第26圖所示的單元的第二佈局。在一非限制性實例中,佈局2800由電氣設計自動化工具產生。佈局2800包括安置在x方向上的ML1層202 (包括金屬條帶202b)、定位在y方向上的ML2層204 (包括金屬條帶204a)、VD區域2604及觸點220 (例如,觸點220a)。VD區域2604在第28圖中不可見,此係因為VD區域2604在觸點220之下。
第29A圖至第29B圖根據一些實施例描繪製造積體電路的實例方法的流程圖,該積體電路包括基板中的主動擴散區域與頂部金屬層之間的連接。所圖示的程序可用於形成積體電路中的各種層,諸如一或多個金屬層、一或多個VG層、一或多個MD層、一或多個VD層及觸點。該方法可用於製造第26圖所示的第一及第二連接結構2600、2602。第29A圖至第29B圖中圖示的該實例方法係結合第30A圖至第30H圖描述。第30A圖至第30H圖描繪第29A圖至第29B圖所示的區塊2900、2904、2908、2912、2916、2920、2924及2928。
最初,如區塊2900所示,在一基板中形成該些主動擴散區域。如早前所描述,該些主動擴散區域包括積體電路中的電晶體的源極/汲極區域。在一非限制性實例中,至少一個主動擴散區域為一或多個p型電晶體的源極/汲極區域且至少一個其他主動擴散區域為一或多個n型電晶體的源極/汲極區域。
第30A圖展示形成於基板3002中的主動擴散區域3000 (例如,主動擴散區域208或210)。基板3002可為任何合適類型的基板。實例基板包括(但不限於)矽基板、砷化鎵基板、絕緣體上矽基板、氮化鎵基板及碳化矽基板。另外,任何合適方法可用於形成主動擴散區域。在一非限制性實例中,該些主動擴散區域係藉由將一或多種n型摻雜劑及一或多種p型摻雜劑植入至基板3002中而形成。
在區塊2902,在該些主動擴散區域上方形成一多晶矽(「聚矽(poly)」)層。任何合適方法可用於在該些主動擴散區域上方形成該聚矽層。在一實例實施例中,在該些主動擴散區域上方形成一遮罩層且顯影(例如,蝕刻)該遮罩層以產生開口,該些開口暴露該基板的一表面且界定將在主動區域上方形成的聚矽線的位置。該聚矽層接著沉積在該遮罩層上方且多晶矽材料填充該遮罩層中的該些開口以在該基板中的該些主動擴散區域上方產生該些聚矽線。
在區塊2904,在該聚矽層及該些主動擴散區域上方形成一介電層。該介電層使該聚矽層中的該些聚矽線彼此電絕緣。任何合適方法可用於形成該介電層。舉例而言,該介電層可沉積在該聚矽層及該些主動擴散區域上方。第30B圖展示形成於主動區域3000上方的聚矽層中的聚矽線3004及形成於聚矽線3004及主動擴散區域3000上方的介電層3006。聚矽線3004表示一或多個聚矽線。在一些實施例中,聚矽線3004為聚矽線212及212a至212f (參見例如第2圖、第4圖至第13C圖、第26圖、第27圖)。
接下來,如區塊2906所示,在該些主動擴散區域上方形成一MD層。任何合適方法可用於在該些主動擴散區域上方形成該MD層。在一非限制性實例中,形成於該介電層上方一遮罩層且顯影(例如,蝕刻)該遮罩層以產生開口,該些開口暴露該基板的一表面且界定將在該些主動擴散區域上方形成的MD區域的位置。該MD層接著沉積在該遮罩層上方且該MD層中的材料填充該遮罩層中的該些開口以在該基板中的該些主動擴散區域上方形成該些MD區域。
在區塊2908,在該MD層上方形成一介電層。該介電層使該MD層中的該些MD區域彼此電絕緣。任何合適方法可用於形成形成該介電層。舉例而言,該介電層可沉積在該MD層上方。第30C圖展示形成於主動區域3000上方的MD區域3008及形成於MD區域3008上方的介電層3010。積體電路可包括一或多個MD區域3008。在一些實施例中,MD區域3008為MD區域214a至214i (參見例如第2圖、第6圖至第8圖、第11A圖、第12A圖、第13A圖、第26圖、第27圖)。
在區塊2910,在IC上方形成一VG層。在一些實施例中,在區塊2910,在該聚矽層上方形成該VG層。任何合適方法可用於在IC (例如,該聚矽層)上方形成該VG層。在一實例實施例中,在該介電層上方形成一遮罩層且顯影(例如,蝕刻)該遮罩層以產生開口,該些開口暴露該聚矽層的一表面且界定將在該聚矽層中的該些聚矽線上方形成的VG區域的位置。該VG層接著沉積在該遮罩層上方且該VG層中的材料填充該遮罩層中的該些開口以在該些聚矽線上方產生VG區域。
在區塊2912,在該VG層上方形成一介電層。該介電層使該VG層中的該些VG區域彼此電絕緣。任何合適方法可用於形成該介電層。舉例而言,該介電層可沉積在該VG層上方。第30D圖展示形成於聚矽線3004上方的VG區域3012及形成於VG區域3012上方的介電層3014。積體電路可包括一或多個VG區域3012。在一些實施例中,VG區域3012為第26圖所示的VG區域2606。另外或替代地,一或多個VG區域3012可在除連接結構2602中的位置(第26圖)外的位置處形成。
在區塊2914,在IC上方形成一VD層。在一些實施例中,在區塊2914,在該MD層上方形成該VD層。任何合適方法可用於在IC (例如,該MD層)上方形成該VD層。舉例而言,在該介電層上方形成一遮罩層且顯影(例如,蝕刻)該遮罩層以產生開口,該些開口暴露該MD層的一表面且界定將在該MD層中的MD區域上方形成的VD區域的位置。該VD層接著沉積在該遮罩層上方且該VD層中的材料填充該遮罩層中的該些開口以在該些MD區域上方產生VD區域。
在區塊2916,在該VD層上方形成一介電層。該介電層使該VD層中的該些VD區域彼此電絕緣。任何合適方法可用於形成該介電層。舉例而言,該介電層可沉積在該VD層上方。第30E圖展示形成於MD區域3008上方的VD區域3016及形成於VD區域3016上方的介電層3018。積體電路可包括一或多個VD區域3016。在一些實施例中,VD區域3016為第26圖所示的VD區域2604。另外或替代地,一或多個VD區域3016可在除連接結構2600 (第26圖)中的位置以外的位置處形成。
在區塊2918,在IC上方形成一金屬層。在一些實施例中,在區塊2918,在該VD層及該VG層上方形成該金屬層。另外或替代地,一或多個填充物單元在該金屬層形成時形成。任何合適方法可用於在IC上方(例如,在VD層及VG層上方)形成金屬層。舉例而言,在該介電層上方形成一遮罩層且顯影(例如,蝕刻)該遮罩層以產生開口,該些開口暴露該VD層及該VG層的表面且界定將在該VD區域及該VG區域上方形成的金屬條帶的位置。該金屬層接著沉積在該遮罩層上方且該金屬層中的導電材料填充該遮罩層中的該些開口以在該VD區域及該VG區域上方形成金屬條帶。
在一些實施例中,金屬層中的至少一個金屬條帶係如本文中所揭示地形成。舉例而言,在一些實施例中,至少一個金屬條帶經製造以如本文中揭示地延伸超出單元的邊界(例如,第2圖中的電力條帶202a、202e、206e、第4圖中的延伸部分403、404、406)。另外或替代地,金屬層中的至少一個金屬條帶形成為如本文中揭示的多個金屬片段(參見例如,第2圖中的202e、第4圖中的418、420、422及第23圖中的2306)。在一些實施例中,金屬層中的至少一個金屬條帶經製造以如本文中描述地延伸跨越填充物單元(例如,第18圖及第19圖中的片段1808’)。在一些實施例中,金屬層中的金屬條帶中的一些係以此等實施例的組合形成。金屬片段中的至少一者及/或延長金屬條帶中的至少一者能夠可操作地連接至電壓源以提供電壓信號(例如,VDD或VSS)。
在區塊2920,在該金屬層上方形成一介電層。該介電層使該金屬層中的該些金屬條帶彼此電絕緣。任何合適方法可用於形成該介電層。舉例而言,該介電層可沉積在該金屬層上方。第30F圖展示形成於VG區域3012上方及VD區域3016上方的金屬條帶3020及形成於金屬條帶3020上方的介電層3022。積體電路可包括配置為信號線及/或電力條帶的一或多個金屬條帶。在一些實施例中,金屬條帶3020為金屬條帶202a至202e、1402、1804、1806、1808、2102、2306、2406 (參見例如第2圖至第5圖、第11A圖、第12A圖、第13A圖、第14A圖至第14B圖、第15圖、第16圖、第18圖、第21圖、第23圖、第24圖及第26圖至第28圖)。
在區塊2922,在該金屬層上方形成一接觸層。任何合適方法可用於形成該接觸層。舉例而言,在該介電層上方形成一遮罩層且顯影(例如,蝕刻)該遮罩層以產生開口,該些開口暴露該金屬層的一表面且界定將在金屬條帶上方形成的觸點的位置。該接觸層接著沉積在該遮罩層上方且該接觸層中的導電材料填充該遮罩層中的該些開口以在金屬條帶上方形成觸點。
在區塊2924,在該接觸層上方形成一介電層。該介電層使該接觸層中的該些觸點彼此電絕緣。任何合適方法可用於形成該介電層。舉例而言,該介電層可沉積在該接觸層上方。第30G圖展示形成於金屬條帶3020上方的觸點3024及形成於觸點3024上方的介電層3026。積體電路可包括一或多個觸點3024。在一些實施例中,觸點為觸點218、220、220a至220c、222、224、224a至224d、302、304、304a (參見例如第2圖、第3圖、第11A圖、第21圖、第22圖及第26圖至第28圖)。
在區塊2926,在IC上方形成一金屬層。在一些實施例中,在區塊2926,在該接觸層上方形成該金屬層。另外或替代地,一或多個填充物單元在該金屬層形成時形成。任何合適方法可用於在IC上方(例如,在該接觸層上方)形成該金屬層。舉例而言,在該介電層上方形成一遮罩層且顯影(例如,蝕刻)該遮罩層以產生開口,該些開口暴露該接觸層的表面且界定將在該些觸點上方形成的金屬條帶的位置。該金屬層接著沉積在該遮罩層上方且該金屬層中的導電材料填充該遮罩層中的該些開口以在該等觸點上方產生金屬條帶。
在一些實施例中,金屬層中的至少一個金屬條帶係如本文中所揭示地形成。舉例而言,在一些實施例中,至少一個金屬條帶經製造以如本文中揭示地延伸超出單元的邊界。另外或替代地,金屬層中至少一個金屬條帶形成為如本中描述的多個金屬片段。在一些實施例中,金屬岑中的至少一個金屬條帶經製造以如本文中描述地延伸跨越填充物單元及/或作為金屬柱。在一些實施例中,金屬層中的金屬條帶中的一或多者係以所揭示實施例中的兩個或多個的組合形成。在一些實施例中,至少一個金屬片段及/或至少一個延長金屬條帶能夠可操作地連接至電壓源以提供電壓信號(例如,VDD或VSS)。另外或替代地,金屬柱可以可操作地連接至電力條帶。
在區塊2928,在該金屬層上方形成一介電層。該介電層使該金屬層中的該些金屬條帶線彼此電絕緣。任何合適方法可用於形成該介電層。舉例而言,該介電層可沉積在該金屬層上方。第30H圖展示形成於觸點3024上方的金屬條帶3028及形成於金屬條帶3028上方的介電層3030。積體電路可包括配置為信號線、電力條帶及/或金屬柱的一或多個金屬條帶。在一些實施例中,金屬條帶3028為金屬條帶204、204a至204d、1410 (參見例如第2圖、第3圖、第6圖至第8圖、第11A圖至第11C圖、第12A圖至第12C圖、第13A圖至第13C圖、第16圖、第17圖、第21圖、第22圖、第26圖及第28圖)。
儘管第29A圖至第30H圖描繪產生兩個金屬層中的金屬條帶(例如,金屬條帶3020、3028)的程序,但其他實施例不限於兩個金屬層。實施例能夠形成連接結構中的一或多個金屬層。舉例而言,每一金屬層能夠藉由在下伏層(例如,下伏介電層)上方形成一遮罩層來產生。顯影(例如,蝕刻)該遮罩層以產生開口,該些開口暴露相同或不同下伏層(例如,下伏導電層或接觸層)的表面。該些開口界定將在該下伏層上方形成的金屬條帶的位置。該金屬層接著沉積在該遮罩層上方且該金屬層中的導電材料填充該遮罩層中的該些開口以在金屬層中產生金屬條帶。
在一些實施例中,積體電路中的金屬層中的一或多者中的至少一個金屬條帶係如本文中所揭示地形成。舉例而言,在一些實施例中,至少一個金屬條帶經製造以如本文中揭示地延伸超出單元的邊界。另外或替代地,至少一個金屬條帶如本文中描述地形成為多個金屬片段。在一些實施例中,至少一個金屬條帶經製造以延伸跨越填充物單元及/或作為如本文中描述的金屬柱。在一些實施例中,一或多個金屬條帶係以所揭示實施例中的兩個或多個的組合形成。在一些實施例中,至少一個金屬片段及/或延長金屬條帶中的至少一者能夠可操作地連接至電壓源以提供電壓信號(例如,VDD或VSS)。另外或替代地,至少一個金屬柱可以可操作地連接至電力條帶。
在一些實施例中,IC的設計係由諸如電子電腦輔助設計(Electronic Computer-Aided Design;ECAD)系統的電腦系統提供。ECAD工具及方法利於半導體基板上的IC中的電路及/或組件設計、分割及置放。ECAD程序通常包括將IC的行為描述轉換成功能描述,接著將功能描述分解為邏輯功能且映射至實施邏輯或其他電子功能的單元中。此等單元可定義且儲存在單元庫中。一旦映射,即執行合成以將結構設計轉換成實體佈局。在一些例子中,設計可為優化後的佈局。
第31圖根據一些實施例圖示適合設計積體電路的實例系統。設計程序可由諸如ECAD系統的電腦系統來實施。本文中揭示的設計(例如,佈局)方法的操作中的一些或全部能夠作為在設計室(在下文結合第32圖論述的設計室3202)中執行的設計程序的部分來執行。
在一些實施例中,系統3100包括自動置放選路(automated place and route;APR)系統。在一些實施例中,系統3100包括處理裝置3102及非暫時性電腦可讀儲存媒體3104 (「儲存裝置」)。處理裝置3102為任何合適的處理裝置或多個處理裝置。實例處理裝置包括(但不限於)中央處理單元、微處理器、分散式處理系統、特殊應用積體電路、圖形處理單元、場可程式化閘陣列或其組合。
儲存裝置3104可經編碼具有或儲存例如電腦程式碼(例如,一組可執行指令3106)。可執行指令3106由處理裝置3102的執行(至少部分地)表示一ECAD工具,該ECAD工具實施本文中描述的方法的一部分或全部以產生本文中揭示的結構及IC的設計。此外,可包括製造工具3108以用於IC的佈局及實體實施。在一或多個實施例中,儲存裝置3104為非暫時性的電子、磁性、光學、電磁、紅外線及/或半導體系統(或設備或裝置)。舉例而言,儲存裝置3104包括半導體或固態記憶體、磁帶、可移式電腦磁碟、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、硬質磁碟及/或光碟。在使用光碟的一或多個實施例中,儲存裝置3104包括光碟唯讀記憶體(compact disk-read only memory;CD-ROM)、可讀寫光碟(compact disk-read/write;CD-R/W)及/或數位視訊光碟(digital video disc;DVD)。
處理裝置3102經由匯流排3110可操作地連接至儲存裝置3104。處理裝置3102亦藉由匯流排3110可操作地連接至輸入/輸出(input/output;I/O)介面3112及網路介面3114。網路介面3114可操作地連接至網路3116,使得處理裝置3102及儲存裝置3104能夠經由網路3116連接至外部元件。在一或多個實施例中,網路3116說明任何類型的有線及/或無線網路,諸如內部網路及/或分散式計算網路(例如,網際網路)。
網路介面3114允許系統3100經由網路3116與其他計算或電子裝置(未示出)通信。網路介面3114包括無線網路介面及/或有線網路介面。實例無線網路介面包括BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA。實例有線網路介面包括ETHERNET、USB或IEEE-1364。在一或多個實施例中,本文中揭示的程序及/或方法中的一些或全部係經由網路3116在分散式系統中實施。
處理裝置3102用以執行編碼在儲存裝置3104中的可執行指令3106以使系統3100可用於執行本文中描述的程序及/或方法中的一些或全部。舉例而言,一電子設計應用程式(例如,在ECAD系統中或作為獨立應用程式)能夠用以執行第2圖至第30H圖所示的方法及技術。給定積體電路的複雜度,且由於積體電路包括幾千、幾百萬或幾十億個組件,因此人類心智不能執行第2圖至第30H圖中所描繪的方法及技術。不同於人類心智,電子設計應用程式能夠執行與第2圖至第30H圖相關聯的操作。
在一或多個實施例中,儲存裝置3104儲存用以使系統3100可用於執行該些程序及/或方法中的一些或全部的可執行指令3106。在一或多個實施例中,儲存裝置3104亦儲存利於該些程序及/或方法中的一部分或全部的執行的資訊。在一或多個實施例中,儲存裝置3104儲存單元庫3118,該單元庫(至少部分地)包括標準及/或先前設計的單元。
I/O介面3112可操作地連接至I/O裝置3120。在一或多個實施例中,I/O裝置3120包括以下各者中的一或多者:影像捕捉裝置、麥克風、掃描器、鍵盤、小鍵盤、滑鼠、觸控板、觸控螢幕及/或標方向鍵以用於將資訊及命令傳達至處理裝置3102。I/O裝置3120亦可包括一或多個顯示器、一或多個揚聲器、印表機、頭戴耳機、觸覺或感觸回饋裝置及類似物。
系統3100用以經由I/O介面3112接收資訊。經由I/O介面3112接收的資訊包括由處理裝置3102進行處理的指令、資料、設計規則、單元庫及/或其他參數中的一或多者。資訊係經由匯流排3110傳送至處理裝置3102。系統3100用以經由I/O介面3112接收與使用者介面(user interface;UI)有關的資訊。資訊係作為UI 3122儲存在儲存裝置3104中或在UI 3122中呈現。
在一些實施例中,該些程序及/或方法的一部分或全部係實施為由處理裝置(例如,處理裝置3102)執行的獨立軟體應用程式(例如,EDA)。在一些實施例中,該些程序及/或方法的一部分或全部係實施為作為額外軟體應用程式的一部分的軟體應用程式。在一些實施例中,該些程序及/或方法的一部分或全部係實施為軟體應用程式的外掛程式。在一些實施例中,該些程序及/或方法中的至少一者係實施為作為EDA工具的一部分的軟體應用程式。在一些實施例中,該些程序及/或方法的一部分或全部係實施為由系統3100使用的軟體應用程式。在一些實施例中,包括標準及/或先前設計的單元的佈局圖係使用諸如可自CADENCE DESIGN SYSTEMS, Inc.獲得的VIRTUOSO的工具或另一合適的佈局產生工具產生。
在一些實施例中,製程係實現為儲存於非暫時性電腦可讀記錄媒體(例如,儲存裝置3104)中的程式的功能。非暫時性電腦可讀記錄媒體的實例包括(但不限於)外部/可移式及/或內部/內建的儲存或記憶體單元,例如以下各者中的一或多者:光碟,諸如DVD;磁碟,諸如硬碟;半導體記憶體,諸如ROM、RAM、記憶卡;以及類似物。
如上文所提及,系統3100的實施例可包括用於實施儲存於儲存裝置3104中的程序及/或方法的製造工具3108。舉例而言,可對設計執行合成,在該合成中,設計渴望的行為及/或功能係藉由將設計與選自單元庫3118的單元匹配而變換成功能上相等的邏輯閘層級電路描述。該合成產生功能上相等的邏輯閘層級電路描述,諸如閘層級網路連線表。基於閘層級網路連線表,可產生一光微影遮罩,該光微影遮罩用於藉由製造工具3108來製造IC。裝置製造的另外態樣係結合第32圖揭示,根據一些實施例,第32圖為積體電路製造系統的方塊圖,及與該積體電路製造系統相關聯的製造流程。在一些實施例中,基於佈局圖,(a)一或多個半導體遮罩或(b)一半導體IC的一層中的至少一個組件中的至少一者係使用製造系統3200製造。
在第32圖中圖示的實施例中,IC製造系統3200包括諸如設計室3202、遮罩室3204及IC製造商/製造者(「晶圓廠」) 3206的實體,該些實體在與製造IC 3208 (諸如本文中揭示的IC)有關的設計、開發及製造循環及/或服務中彼此相互作用。系統3200中的實體由通信網路(未示出)可操作地連接。在一些實施例中,通信網路係單一網路。在其他實施例中,通信網路係多種不同的網路,諸如內部網路及網際網路。通信網路包括有線及/或無線的通信通道。
每一實體與其他實體中的一或多者相互作用,且為其他實體中的一或多者提供服務及/或自其他實體中的一或多者接收服務。在一些實施例中,設計室3202、遮罩室3204及IC晶圓廠3206中的兩者或多者歸單一公司所有。在一些實施例中,設計室3202、遮罩室3204及IC晶圓廠3206中的兩者或多者共存於共用設施中且使用共用資源。
設計室(或設計團隊) 3202產生IC設計佈局圖3210。IC設計佈局圖3210包括針對待製造的IC 3208設計的各種幾何圖案,或IC佈局圖。該些幾何圖案對應於構成待製造的IC 3208的各種組件的金屬層、氧化物層或半導體層的圖案。各種層組合以形成各種IC特徵。舉例而言,IC設計佈局圖3210的一部分包括將在半導體基板(諸如矽晶圓)及安置於半導體基板上的各種材料層中形成的各種IC特徵,諸如主動區域、閘極電極、源極與汲極、金屬條帶或區域介層孔及用於黏合墊的開口。
設計室3202實施設計程序以形成IC設計佈局圖3210。設計程序包括邏輯設計、實體設計或置放選路中的一或多者。IC設計佈局圖3210存在於具有關於幾何圖案的資訊的一或多個資料檔案中。舉例而言,IC設計佈局圖3210可用GDS檔案格式、GDSII檔案格式或DFII檔案格式表示。
遮罩室3204包括遮罩資料準備3212及遮罩製造3214。遮罩室3204使用IC設計佈局圖3210來製造一或多個遮罩3216,該一或多個遮罩將用於根據IC設計佈局圖3210製造IC 3208的各種層。遮罩室3204執行遮罩資料準備3212,其中IC設計佈局圖3210經轉譯成代表性資料檔案(「representative data file;RDF」)。遮罩資料準備3212將RDF提供至遮罩製造3214。遮罩製造3214包括遮罩寫入器(未示出),遮罩寫入器將RDF轉換成基板上的影像,諸如半導體晶圓上的遮罩(光刻罩) 3216。IC設計佈局圖3210係由遮罩資料準備3212操縱以遵守遮罩寫入器的特定特性及/或IC晶圓廠3206的要求。在第32圖中,遮罩資料準備3212及遮罩製造3214係說明為單獨元件。在一些實施例中,遮罩資料準備3212及遮罩製造3214可共同被稱為遮罩資料準備。
在一些實施例中,遮罩資料準備3212包括光學近接修正(optical proximity correction;OPC),光學近接修正使用微影增強技術以補償影像誤差,諸如可由繞射、干涉、其他處理效應及類似者引起的影像誤差。OPC調整IC設計佈局圖3210。在一些實施例中,遮罩資料準備3212包括其他解析度增強技術(resolution enhancement technique;RET),諸如離軸照明、次解析度輔助特徵、相移遮罩、其他合適的技術及類似技術或該些技術的組合。在一些實施例中,亦使用逆微影技術(inverse lithography technology;ILT),逆微影技術將OPC視為逆成像問題。
在一些實施例中,遮罩資料準備3212包括遮罩規則檢驗器(mask rule checker;MRC),遮罩規則檢驗器利用一組遮罩創造規則來檢查已經歷OPC中的程序的IC設計佈局圖3210,該組遮罩創造規則含有特定的幾何及/或連接限制以確保足夠裕量,以解釋半導體製造製程中的可變性及類似者。在一些實施例中,MRC修改IC設計佈局圖3210以補償遮罩製造期間的限制,如此可撤銷由OPC執行的修改的部分,以便滿足遮罩創造規則。
在一些實施例中,遮罩資料準備3212包括微影製程檢查(lithography process checking;LPC) (未示出),該微影製程檢查模擬將由IC晶圓廠3206實施以製造IC 3208的處理。LPC基於IC設計佈局圖3210來模擬此處理以產生模擬製造的裝置,諸如IC 3208。LPC模擬中的處理參數可包括與IC製造循環的各種製程相關聯的參數、與用於製造IC的工具相關聯的參數及/或製造製程的其他態樣。LPC考慮各種因素,諸如空中影像對比度、焦點深度(「depth of focus;DOF」)、遮罩誤差增強因子(「mask error enhancement factor;MEEF」)、其他合適的因素及類似者或前述因素的組合。在一些實施例中,在模擬製造的裝置已由LPC產生之後,且若模擬的裝置在形狀上不足夠接近以滿足設計規則,則應重複OPC及/或MRC以進一步改良IC設計佈局圖3210。
應理解,為清楚起見,遮罩資料準備3212的以上描述已經簡化。在一些實施例中,遮罩資料準備3212包括額外特徵,諸如用於根據製造規則修改IC設計佈局圖3210的邏輯運算(logic operation;LOP)。另外,在遮罩資料準備3212期間應用於IC設計佈局圖3210的程序可按多種不同的次序執行。
在遮罩資料準備3212之後且在遮罩製造3214期間,基於IC設計佈局圖3210而製造一遮罩3216或一組遮罩3216。在一些實施例中,遮罩製造3214包括基於IC設計佈局圖3210而執行一或多次微影曝光。在一些實施例中,使用一電子束(e射束)或多個e射束的機制以基於IC設計佈局圖3210而在遮罩3216 (光罩或光刻罩)上形成圖案。遮罩3216可用各種技術形成。舉例而言,在一些實施例中,遮罩3216係使用二元技術(binary technology)形成。在一些實施例中,遮罩圖案包括不透明區域及透明區域。用於使已塗佈在晶圓上的影像敏感材料層(例如,光阻劑)曝光的輻射束被不透明區域阻斷且透射穿過透明區域,該輻射束諸如紫外線(ultraviolet;UV)射束。在一個實例中,遮罩3216的二元遮罩版本包括透明基板(例如,熔融石英)及塗佈在二元遮罩的不透明區域中的不透明材料(例如,鉻)。
在另一實例中,遮罩3216係使用相移技術形成。在遮罩3216的相移遮罩(phase shift mask;PSM)版本中,形成於相移遮罩上的圖案中的各種特徵用以具有恰當的相位差以增強解析度及成像品質。在各種實例中,相移遮罩可為衰減式PSM或交替式PSM。藉由遮罩製造3214產生的遮罩3216將在多種程序中使用。舉例而言,一(多個)遮罩3216將在用於在半導體晶圓中形成各種摻雜區域的離子植入製程中、在用於在半導體晶圓中形成各種蝕刻區域的蝕刻製程中及/或在其他合適製程中使用。
IC晶圓廠3206包括晶圓製造3218。IC晶圓廠3206係IC製造企業,該IC製造企業包括用於製造多種不同IC產品的一或多個製造設施。在一些實施例中,IC晶圓廠3206係半導體鑄造廠。舉例而言,可能存在用於複數個IC產品的前端製造(FEOL製造)的製造設施,而第二製造設施可提供用於IC產品的互連及封裝的後端製造(BEOL製造),且第三製造設施可為鑄造廠企業提供其他服務。
IC晶圓廠3206使用由遮罩室3204製造的遮罩3216以製造IC 3208。因此,IC晶圓廠3206至少間接地使用IC設計佈局圖3210以製造IC 3208。在一些實施例中,半導體晶圓3220係由IC晶圓廠3206使用遮罩3216製造以形成IC 3208。在一些實施例中,IC晶圓廠3206包括至少間接地基於IC設計佈局圖3210而執行一或多次微影曝光。半導體晶圓3220包括矽基板或其他恰當的基板,該基板上形成有多個材料層。半導體晶圓3220進一步包括以下各者中的一或多個:各種摻雜區域;介電特徵;多位準互連;以及類似物(在後續製造步驟形成)。
前述內容概述幾個實施例的特徵,使得熟習此項技術者可更好地理解本案的一實施例的態樣。熟習此項技術者應瞭解,該些技術者可容易將本案的一實施例用作為設計或修改用於實現與本文中介紹的實施例的相同目的及/或達成與本文中介紹的實施例的相同優點的其他製程及結構的基礎。熟習此項技術者亦應認識到,此等等效構造不背離本案的一實施例的精神及範疇,且該些技術者可在不離本案的一實施例的精神及範疇的情況下作出本文中的各種改變、取代及改動。
在一個態樣中,揭示一種在積體電路中的單元,包含第一導體層及上覆第一導體層的第二導體層。第一導體層包含劃分成多個導體片段的導體條帶及用以連接至第一電壓源以提供第一電壓信號的第一電力條帶。第二導體層上覆於第一導體層且包含用以連接至第二電壓源以提供第二電壓信號的第二電力條帶。其中導體片段中的第一導體片段電連接至第二電力條帶以將第二電壓信號提供至單元中的第一導體層。
在一些實施例中,單元更包含第三導體層,第三導體層安置在第一導體層與第二導體層之間,第三導體層提供第一導體層與第二導體層之間的一或多個觸點。
在一些實施例中,其中第二導體層進一步包含一或多個導體柱,且每一導體柱電連接至第一電力條帶。
在一些實施例中,其中第一導體層中的導體片段中的第二導體片段係配置為信號線。
在一些實施例中,其中第一電力條帶延伸超出單元的邊界且由毗鄰單元的鄰近單元共用。
在一些實施例中,其中第二電力條帶延伸超出單元的邊界且由毗鄰單元的鄰近單元共用。
在一些實施例中,單元進一步包含第一主動擴散區域、第二主動擴散區域、一或多個金屬擴散區域以及一或多個聚矽線。第一主動擴散區域安置在第一導體層之下。第二主動擴散區域安置在第一導體層之下。一或多個金屬擴散區域安置在第一及第二主動擴散區域上方。一或多個聚矽線安置在第一及第二主動擴散區域上方。
在一些實施例中,其中單元的一單元高度在近似一百奈米至一百三十奈米的一範圍內。
在另一態樣中,揭示一種積體電路包括第一單元及鄰近第一單元的第二單元。第一單元包括第一金屬層,第一金屬層包含可操作以連接至第一電壓源以供應第一電壓信號的第一電力條帶及劃分成多個金屬片段的第一金屬條帶。金屬片段中的第一金屬片段延伸超出第一單元的單元邊界且可操作以將第二電壓源供應至第一單元。第二單元包含第一金屬層,第一金屬層包括可操作以供應第一電壓源的第一電力條帶及劃分成多個金屬片段的第二金屬條帶。多個金屬片段中的第二金屬片段延伸超出第二單元的單元邊界且可操作以將第二電壓源供應至第二單元。第一金屬片段及第二金屬片段形成一個金屬片段,一個金屬片段駐留在第一單元與第二單元之間且駐留在第一單元及第二單元中。
在一些實施例中,積體電路進一步包含第三金屬片段以及第四金屬片段。在第一單元中的金屬片段中,第三金屬片段用於第一信號。第二單元中的金屬片段中,第四金屬片段用於第一信號。
在一些實施例中,其中第一電力條帶延伸超出第一單元的單元邊界且由毗鄰第一單元的第三單元共用;以及第一電力條帶延伸超出第二單元的單元邊界且由毗鄰第二單元的第四單元共用。
在一些實施例中,積體電路更包含填充物單元,填充物單元安置在第一單元與第二單元之間,其中由第一金屬片段及第二金屬片段形成的一個金屬片段駐留在填充物單元中。
在一些實施例中,其中第一單元進一步包含第二金屬層,第二金屬層上覆於第一金屬層且包含可操作以連接至第二電壓源以提供第二電壓信號的第二電力條帶,其中第二電力條帶電連接至第一金屬層中的第一金屬片段;以及第二單元進一步包含第二金屬層,第二金屬層上覆於第一金屬層且包含提供第二電壓信號的第二電力條帶,其中第二電力條帶電連接至第一金屬層中的第二金屬片段。
在一些實施例中,其中第二電力條帶延伸超出第一單元的邊界且由毗鄰第一單元的第三單元共用。第二電力條帶延伸超出第二單元的邊界且由毗鄰第二單元的第四單元共用。
在又一態樣中,揭示一種積體電路包括一第一單元及鄰近該第一單元的一第二單元。該第一單元包括一第一金屬層及上覆於該第一金屬層的一第二金屬層。該第一金屬層包括可操作以連接至一第一電壓源以供應一第一電壓信號的一第一電力條帶及用於信號的N個金屬條帶。該第二金屬層包括可操作以連接至一第二電壓源以供應一第二電壓信號的一第二電力條帶及用於信號的M個金屬條帶,其中N小於M。該第二單元包括包含供應該第一電壓信號的該第一電力條帶的該第一金屬層,且該第二金屬層包括供應該第二電壓信號的該第二電力條帶。一填充物單元安置在該第一單元與該第二單元之間。
在另一態樣中,揭示一種製造積體電路的方法包括:在積體電路上方形成一第一導體層,其中第一導體層包括劃分成多個導體片段的導體條帶及用以提供第一電壓信號的一第一電力條帶;形成上覆於第一導體層的接觸層;以及形成第二導體層,第二導體層上覆於接觸層且包含用以提供第二電壓信號的第二電力條帶。其中接觸層中的觸點將導體片段中的第一導體片段電連接至第二電力條帶以將第二電壓信號提供至第一導體層。
在一些實施例中,其中第一導體片段形成以延伸超出積體電路中的單元的邊界。
在一些實施例中,方法進一步包含以下步驟:在基板中形成一或多個主動區域;在一或多個主動區域上方形成聚矽層,聚矽層包含聚矽線;以及在一或多個主動區域上方形成金屬擴散層,金屬擴散層包含金屬擴散區域。
在一些實施例中,方法進一步包含以下步驟:在金屬擴散層上方形成介層孔擴散層,介層孔擴散層包含形成於金屬擴散層區域上方的介層孔擴散區域;在聚矽層上方形成介層孔至閘極層,介層孔至閘極層包含形成於聚矽線上方的介層孔至閘極區域,其中第一導體層形成於介層孔擴散層及介層孔至閘極層上方。
在一些實施例中,其中在積體電路上方形成第一導體層之步驟包含以下步驟:在積體電路上方形成第一導體層,其中第一導體層包括劃分成多個導體片段的第一導體條帶、用以提供第一電壓信號的第二電力條帶及配置為信號線的第二導體條帶。
在一些實施例中,其中形成上覆於接觸層且包含第二電力條帶的第二導體層之步驟包含以下步驟:形成第二導體層,第二導體層上覆於接觸層且包含第二電力條帶及可操作地連接至一下層導體條帶或電力條帶的金屬柱。
對本申請案中提供的一或多個態樣的描繪及說明不欲以任何方式限制或約束如所主張的本案的一實施例的範疇。認為本申請案中提供的態樣、實例及細節足以傳達對所主張的揭示內容的最佳模式的佔有且使其他人能夠製造及使用所主張的揭示內容的最佳模式。所主張的揭示內容不應解釋為限於在本申請案中提供的任何態樣、實例或細節。不管是否組合地或單獨地展示及描述,意欲選擇性地包括或省略各種特徵(結構特徵及方法特徵兩者)以產生具有特徵的特定集合的實施例。在已提供對本申請案的描述及說明的情況下,熟習此項技術者可想像多個變化、修改及替代態樣,該些變化、修改及替代態樣在不背離所主張的揭示內容的較廣範疇的體現在本申請案中的一般發明性概念的較廣態樣的精神內。
100:記憶裝置 102:記憶單元 104:記憶陣列 106:字線 108:列選擇電路 110,116,1804:信號線 112:位元線 114:行選擇電路 118:處理裝置 120:電源供應器 122:電子裝置 200:單元結構 201,900,902,1002,1004,1802,1802a,1802b,1802c,1802d,2304a,2304b,2304c,2304d,2304e,2304f,2304g,2404a,2404b,2404c,2500:單元 202:第一金屬(ML1)層 202a,202b,202c,202d,202e,202’,204a,204b,204c,204d,204’,206a,206b,206c,206d,206e,502,1410,2102,2104,2306,2310,2406,2504,2506,3020,3028:金屬條帶 204:第二金屬(ML2)層 206:第三金屬(ML3)層 208:第一主動擴散區域 210:第二主動擴散區域 212,212a,212b,212c,212d,212e,212f,3004:多晶矽(「聚矽」)線 214a,214b,214c,214d,214e,214f,214g,214h,214h,214j,216,3008:金屬擴散(MD)區域 216a,216b,216c,216d:元件 218,220,222,224,2110,2112,2204,3024:觸點 220,220a,220b,220c,222a,222b,222c,304,304a,1104a,1104b,1108a,1108b,1108c,1108d:觸點(CONTACT2) 224a,224b,224c,224d,302:觸點(CONTACT1) VDD:第一電壓源/第一電壓信號 VSS:第二電壓源/第二電壓信號 W1,W2:寬度 300,1100,1106,1110,1200,1204,1206,1300,1304,1306,1400,1500,1600,1700,1800,2200,2300,2400,2700,2800:佈局 400,600:第一單元結構 402,1102,1202,1302:單元邊界 403,1112:延伸部分 404:第一延伸部分 406:第二延伸部分 408:距離 410,910:單元高度(CH) 412:ML1間距 414(PW(VDD)),ML1_PW(VDD),916,ML3_PW(VSS):電力條帶206e的寬度 416(PW(VSS)),ML_PW(VSS):金屬條帶202e的寬度 418,420,422:金屬片段 424,426:分裂位置 500,1000:第二單元結構定義 504:一個CPP 506:一個半(1.5) CPP 700:第二單元結構 800:第三單元結構 602,702,802:聚矽間距 604,704,804:ML2層間距 904:第一單元結構定義 906,908,1006,1008:單元邊緣 912:用於信號的金屬條帶的數目 914:ML3間距 1402,1402_cell,1402_PG,1402,1806,2502:第一電力條帶 1404,1404_cell,1404_PG,1808:第二電力條帶 1406:第四單元 1407:區域 1408:M3柱 1502:第一電力條帶1402的間距 1602:金屬條帶1410的間距 1702:第二電力條帶1404的間距 1808’:共用的第二電力條帶 1810,2308,2408:填充物單元 1812:虛橢圓 2000,2002,2004,2006,2008,2010,2012,2900,2902,2904,2906,2908,2910,2912,2914,2916,2918,2920,2922,2924,2926,2928:區塊 2100:電源繞線 2106,2108,2202:電力條帶 2302,2402:排 2600:第一連接結構 2602:第二連接結構 2604,3016:VD區域 2606,3012:VG區域 3000:主動擴散區域/主動區域 3002:基板 3006,3010,3014,3018,3022,3026,3030:介電層 3100:系統 3102:處理裝置 3104:非暫時性電腦可讀儲存媒體/儲存裝置 3106:(可執行)指令 3108:製造工具 3110:匯流排 3112:輸入/輸出(I/O)介面 3114:網路介面 3116:網路 3118:(儲存)單元庫 3120:I/O裝置 3122:使用者介面(UI) 3200:製造系統 3202:設計室 3204:遮罩室 3206:IC製造商/製造者(「晶圓廠」) 3208:積體電路(IC) 3210:IC設計佈局 3212:遮罩資料準備 3214:遮罩製造 3216:遮罩 3218:晶圓製造 3220:(半導體)晶圓
本案的一實施例的態樣將結合附圖藉由以下詳細描述最佳地瞭解,在附圖中,相似的參考數字指示相似的結構元件。請注意,圖中的各種特徵未按比例繪製。實際上,為了論述清楚起見,各種特徵的尺寸可以任意地增大或減小。 第1圖根據一些實施例描繪實例積體電路的方塊圖,本案的一實施例的態樣可在該實例積體電路中實踐; 第2圖根據一些實施例圖示單元結構的佈局; 第3圖根據一些實施例描繪積體電路的實例佈局的一部分; 第4圖根據一些實施例圖示第一金屬層的實例第一單元結構定義; 第5圖根據一些實施例描繪第一金屬層的實例第二單元結構定義; 第6圖根據一些實施例圖示第二金屬層的實例第一單元結構定義; 第7圖根據一些實施例描繪第二金屬層的實例第二單元結構定義; 第8圖根據一些實施例圖示第二金屬層的實例第三單元結構定義; 第9圖根據一些實施例描繪第三金屬層的實例第一單元結構定義; 第10圖根據一些實施例圖示第三金屬層的實例第二單元結構定義; 第11A圖根據一些實施例描繪第一單元的第一金屬層的實例佈局; 第11B圖根據一些實施例圖示第一單元的第二金屬層的實例佈局; 第11C圖根據一些實施例描繪第一單元的第三金屬層的實例佈局; 第12A圖根據一些實施例圖示第二單元的第一金屬層的實例佈局; 第12B圖根據一些實施例描繪第二單元的第二金屬層的實例佈局; 第12C圖根據一些實施例圖示第二單元的第三金屬層的實例佈局; 第13A圖根據一些實施例描繪第三單元的第一金屬層的實例佈局; 第13B圖根據一些實施例圖示第三單元的第二金屬層的實例佈局; 第13C圖根據一些實施例描繪第三單元的第三金屬層的實例佈局; 第14A圖根據一些實施例圖示積體電路的電力分配網路的實例佈局; 第14B圖根據一些實施例描繪第四單元的第一金屬層的實例佈局; 第14C圖根據一些實施例圖示第14A圖所示的實例佈局的一部分的放大視圖; 第15圖根據一些實施例描繪第14A圖所示的第一電力條帶的第一電源結構定義的實例佈局; 第16圖根據一些實施例圖示第14A圖所示的第二金屬層中的金屬條帶的第二電源結構定義的實例佈局; 第17圖根據一些實施例描繪第14A圖所示的第二電力條帶的第三電源結構定義的實例佈局; 第18圖根據一些實施例圖示積體電路的一區段,該區段包括填充物單元及用於第二電壓信號的延長金屬條帶; 第19圖根據一些實施例描繪用於第二電壓信號的延長金屬條帶的放大視圖; 第20圖根據一些實施例圖示製造積體電路中的單元的方法的流程圖; 第21圖根據一些實施例描繪用於積體電路中的ML1層及ML2層的實例電源繞線; 第22圖根據一些實施例圖示用於第21圖所示的積體電路中的ML3層的實例電源繞線; 第23圖根據一些實施例描繪積體電路的第一佈局,該第一佈局用於描述減小電力條帶上的IR壓降的方法; 第24圖根據一些實施例圖示積體電路的第二佈局,該第二佈局用於描述減小電力條帶上的IR壓降的另一方法; 第25圖根據一些實施例描繪第24圖所示的佈局中的單元; 第26圖根據一些實施例圖示單元中的各種層及單元中的主動擴散區域與頂部金屬層之間的連接; 第27圖根據一些實施例描繪藉由第一設計程序產生的第26圖所示的單元的第一佈局; 第28圖根據一些實施例圖示藉由第一設計程序產生的第26圖所示的單元的第一佈局; 第29A圖至第29B圖根據一些實施例描繪製造積體電路的實例方法的流程圖,該積體電路包括基板中的主動擴散區域與頂部金屬層之間的連接; 第30A圖根據一些實施例圖示第29A圖所示的區塊2900; 第30B圖根據一些實施例描繪第29A圖所示的區塊2904; 第30C圖根據一些實施例圖示第29A圖所示的區塊2908; 第30D圖根據一些實施例描繪第29A圖所示的區塊2912; 第30E圖根據一些實施例圖示第29B圖所示的區塊2916; 第30F圖根據一些實施例描繪第29B圖所示的區塊2920; 第30G圖根據一些實施例圖示第29B圖所示的區塊2924; 第30H圖根據一些實施例描繪第29B圖所示的區塊2928; 第31圖根據一些實施例圖示適合設計積體電路的實例系統;且 第32圖根據一些實施例描繪實例積體電路製造系統及製造流程的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:單元結構
201:單元
202:第一金屬(ML1)層
204:第二金屬(ML2)層
206:第三金屬(ML3)層
202a,202b,202c,202d,202e,204a,204b,204c,204d,206a,206b,206c,206d,206e:金屬條帶
208:第一主動擴散區域
210:第二主動擴散區域
212a,212b,212c:多晶矽(「聚矽」)線
214a,214b,214c:金屬擴散(MD)區域
216a,216b,216c,216d:元件
218,220,222,224:觸點
W1,W2:寬度
VDD:第一電壓源/第一電壓信號
VSS:第二電壓源/第二電壓信號

Claims (20)

  1. 一種在一積體電路中的一單元,該單元包含: 一第一導體層,該第一導體層包含劃分成複數個導體片段的一導體條帶及用以連接至一第一電壓源以提供一第一電壓信號的一第一電力條帶;以及 一第二導體層,該第二導體層上覆於該第一導體層且包含用以連接至一第二電壓源以提供一第二電壓信號的一第二電力條帶,其中該些導體片段中的一第一導體片段電連接至該第二電力條帶以將該第二電壓信號提供至該單元中的該第一導體層。
  2. 如請求項1所述之單元,更包含: 一第三導體層,該第三導體層安置在該第一導體層與該第二導體層之間,該第三導體層提供該第一導體層與該第二導體層之間的一或多個觸點。
  3. 如請求項2所述之單元,其中該第二導體層進一步包含一或多個導體柱,且每一導體柱電連接至該第一電力條帶。
  4. 如請求項1所述之單元,其中該第一導體層中的該些導體片段中的一第二導體片段係配置為一信號線。
  5. 如請求項1所述之單元,其中該第一電力條帶延伸超出該單元的一邊界且由毗鄰該單元的一鄰近單元共用。
  6. 如請求項1所述之單元,其中該第二電力條帶延伸超出該單元的一邊界且由毗鄰該單元的一鄰近單元共用。
  7. 如請求項1所述之單元,進一步包含: 一第一主動擴散區域,該第一主動擴散區域安置在該第一導體層之下; 一第二主動擴散區域,該第二主動擴散區域安置在該第一導體層之下; 一或多個金屬擴散區域,該一或多個金屬擴散區域安置在該第一及該第二主動擴散區域上方;以及 一或多個聚矽線,該一或多個聚矽線安置在該第一及該第二主動擴散區域上方。
  8. 如請求項1所述之單元,其中該單元的一單元高度在近似一百奈米至一百三十奈米的一範圍內。
  9. 一種積體電路,包含: 一第一單元,該第一單元包含一第一金屬層,該第一金屬層包含可操作以連接至一第一電壓源以供應一第一電壓信號的一第一電力條帶及劃分成複數個金屬片段的一第一金屬條帶,其中該些金屬片段中的一第一金屬片段延伸超出該第一單元的一邊界且可操作以將一第二電壓信號供應至該第一單元;以及 一第二單元,該第二單元鄰近該第一單元,該第二單元包含該第一金屬層,該第一金屬層包含可操作以供應該第一電壓信號的該第一電力條帶及劃分成複數個金屬片段的一第二金屬條帶,其中該些金屬片段中的一第二金屬片段延伸超出該第二單元的一邊界且可操作以將該第二電壓信號供應至該第二單元, 其中該第一金屬片段及該第二金屬片段形成一個金屬片段,該一個金屬片段駐留在該第一單元與該第二單元之間且駐留在該第一單元及該第二單元中。
  10. 如請求項9所述之積體電路,進一步包含: 一第三金屬片段,在該第一單元中的該些金屬片段中,該第三金屬片段用於一第一信號;以及 一第四金屬片段,該第二單元中的該些金屬片段中,該第四金屬片段用於一第一信號。
  11. 如請求項9所述之積體電路,其中該第一電力條帶延伸超出該第一單元的該單元邊界且由毗鄰該第一單元的一第三單元共用;以及 該第一電力條帶延伸超出該第二單元的該單元邊界且由毗鄰該第二單元的一第四單元共用。
  12. 如請求項9所述之積體電路,更包含: 一填充物單元,該填充物單元安置在該第一單元與該第二單元之間,其中由該第一金屬片段及該第二金屬片段形成的該一個金屬片段駐留在該填充物單元中。
  13. 如請求項9所述之積體電路,其中該第一單元進一步包含一第二金屬層,該第二金屬層上覆於該第一金屬層且包含可操作以連接至該第二電壓源以提供該第二電壓信號的一第二電力條帶,其中該第二電力條帶電連接至該第一金屬層中的該第一金屬片段;以及 該第二單元進一步包含該第二金屬層,該第二金屬層上覆於該第一金屬層且包含提供該第二電壓信號的該第二電力條帶,其中該第二電力條帶電連接至該第一金屬層中的該第二金屬片段。
  14. 如請求項13所述之積體電路,其中該第二電力條帶延伸超出該第一單元的該邊界且由毗鄰該第一單元的一第三單元共用;以及 該第二電力條帶延伸超出該第二單元的該邊界且由毗鄰該第二單元的一第四單元共用。
  15. 一種製造一積體電路的方法,該方法包含以下步驟: 在該積體電路上方形成一第一導體層,其中該第一導體層包括劃分成複數個導體片段的一導體條帶及用以提供一第一電壓信號的一第一電力條帶; 形成上覆於該第一導體層的一接觸層;以及 形成一第二導體層,該第二導體層上覆於該接觸層且包含用以提供一第二電壓信號的一第二電力條帶,其中該接觸層中的一觸點將該些導體片段中的一第一導體片段電連接至該第二電力條帶以將該第二電壓信號提供至該第一導體層。
  16. 如請求項15所述之方法,其中該第一導體片段形成以延伸超出該積體電路中的一單元的一邊界。
  17. 如請求項15所述之方法,進一步包含以下步驟: 在一基板中形成一或多個主動區域; 在該一或多個主動區域上方形成一聚矽層,該聚矽層包含一聚矽線;以及 在該一或多個主動區域上方形成一金屬擴散層,該金屬擴散層包含一金屬擴散區域。
  18. 如請求項17所述之方法,進一步包含以下步驟: 在該金屬擴散層上方形成一介層孔擴散層,該介層孔擴散層包含形成於該金屬擴散層區域上方的一介層孔擴散區域; 在該聚矽層上方形成一介層孔至閘極層,該介層孔至閘極層包含形成於該聚矽線上方的一介層孔至閘極區域,其中該第一導體層形成於該介層孔擴散層及該介層孔至閘極層上方。
  19. 如請求項15所述之方法,其中在該積體電路上方形成該第一導體層之步驟包含以下步驟: 在該積體電路上方形成該第一導體層,其中該第一導體層包括劃分成複數個導體片段的該第一導體條帶、用以提供該第一電壓信號的該第二電力條帶及配置為一信號線的一第二導體條帶。
  20. 如請求項15所述之方法,其中形成上覆於該接觸層且包含該第二電力條帶的該第二導體層之步驟包含以下步驟: 形成該第二導體層,該第二導體層上覆於該接觸層且包含該第二電力條帶及可操作地連接至一下層導體條帶或電力條帶的一金屬柱。
TW110105164A 2020-02-27 2021-02-09 積體電路中的單元 TW202133336A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062982321P 2020-02-27 2020-02-27
US62/982,321 2020-02-27
US17/127,091 US11908538B2 (en) 2020-02-27 2020-12-18 Cell structures and power routing for integrated circuits
US17/127,091 2020-12-18

Publications (1)

Publication Number Publication Date
TW202133336A true TW202133336A (zh) 2021-09-01

Family

ID=77463903

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110105164A TW202133336A (zh) 2020-02-27 2021-02-09 積體電路中的單元

Country Status (2)

Country Link
US (1) US11908538B2 (zh)
TW (1) TW202133336A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10878158B2 (en) * 2018-07-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including cell region having more similar cell densities in different height rows, and method and system for generating layout diagram of same
US11315628B1 (en) * 2020-10-21 2022-04-26 Arm Limited Techniques for powering memory

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125793A (ja) * 1996-10-24 1998-05-15 Toshiba Corp 低消費電力集積回路装置
JP4787592B2 (ja) * 2005-10-14 2011-10-05 パナソニック株式会社 システムlsi
CN101546592A (zh) * 2008-03-25 2009-09-30 扬智科技股份有限公司 减少电源环使用面积的内嵌存储器装置
US20130107651A1 (en) * 2011-10-27 2013-05-02 Cold Brick Semiconductor, Inc. Semiconductor device with reduced leakage current and method for manufacture the same
KR20170042121A (ko) * 2015-10-08 2017-04-18 삼성전자주식회사 파워-업 시퀀스를 제어하는 반도체 장치
KR102610208B1 (ko) * 2016-07-22 2023-12-06 에스케이하이닉스 주식회사 컬럼 디코더를 갖는 반도체 장치
US10580730B2 (en) * 2017-11-16 2020-03-03 International Business Machines Corporation Managed integrated circuit power supply distribution
US10950545B2 (en) * 2019-03-08 2021-03-16 International Business Machines Corporation Circuit wiring techniques for stacked transistor structures
JP2021048266A (ja) * 2019-09-18 2021-03-25 キオクシア株式会社 半導体記憶装置
US11430779B2 (en) * 2019-11-04 2022-08-30 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US11328750B1 (en) * 2021-01-22 2022-05-10 Arm Limited Bitcell architecture with buried ground rail

Also Published As

Publication number Publication date
US20210272605A1 (en) 2021-09-02
US11908538B2 (en) 2024-02-20

Similar Documents

Publication Publication Date Title
TWI709225B (zh) 記憶胞及形成記憶體電路的方法
US11275885B2 (en) Engineering change order cell structure having always-on transistor
CN108932360A (zh) 集成电路及其制造方法
KR20200002010A (ko) 홀수 개 핀 높이 셀 영역, 그것을 구비한 반도체 디바이스, 및 그것에 대응하는 레이아웃 다이어그램을 생성하는 방법
TW202133336A (zh) 積體電路中的單元
US20240096803A1 (en) Diagonal backside power and signal routing for an integrated circuit
US11763060B2 (en) Automatic generation of layouts for analog integrated circuits
KR102459553B1 (ko) 반도체 소자
TW202238429A (zh) 製造類比積體電路的方法
TWI813010B (zh) 積體電路及其製造方法
US20240055029A1 (en) Cell structures and power routing for integrated circuits
US11854970B2 (en) Reducing internal node loading in combination circuits
TWI809684B (zh) 三維積體電路結構與製造晶粒層的方法
TWI810664B (zh) 阻抗降低之半導體裝置及其製造方法
TWI660584B (zh) 多工器電路、對電壓進行多工的裝置及其操作方法
US20240055348A1 (en) Three dimensional integrated circuit with monolithic inter-tier vias (miv)
TW202240458A (zh) 積體電路裝置
TW202244774A (zh) 基於混合單元的元件、佈局和方法
TW202409886A (zh) 積體電路及其製作方法
TW202312427A (zh) 包含單元的積體電路
TW202310189A (zh) 積體電路
TW202312440A (zh) 一次性可程式化位元單元