TW202109619A - 用於形成與圖案化層及/或基板之方法 - Google Patents
用於形成與圖案化層及/或基板之方法 Download PDFInfo
- Publication number
- TW202109619A TW202109619A TW109115993A TW109115993A TW202109619A TW 202109619 A TW202109619 A TW 202109619A TW 109115993 A TW109115993 A TW 109115993A TW 109115993 A TW109115993 A TW 109115993A TW 202109619 A TW202109619 A TW 202109619A
- Authority
- TW
- Taiwan
- Prior art keywords
- mandrel
- spacer
- gap
- filling material
- gap filling
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 129
- 239000000758 substrate Substances 0.000 title claims abstract description 34
- 238000000059 patterning Methods 0.000 title description 16
- 239000000463 material Substances 0.000 claims abstract description 184
- 125000006850 spacer group Chemical group 0.000 claims abstract description 168
- 238000011049 filling Methods 0.000 claims abstract description 136
- 239000002210 silicon-based material Substances 0.000 claims abstract description 35
- 239000004065 semiconductor Substances 0.000 claims abstract description 12
- 230000008569 process Effects 0.000 claims description 96
- 238000005530 etching Methods 0.000 claims description 63
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 52
- 229910052710 silicon Inorganic materials 0.000 claims description 52
- 239000010703 silicon Substances 0.000 claims description 52
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 30
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 30
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 21
- 238000001039 wet etching Methods 0.000 claims description 19
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 claims description 18
- KWYUFKZDYYNOTN-UHFFFAOYSA-M potassium hydroxide Substances [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 claims description 13
- 239000000945 filler Substances 0.000 claims description 12
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 8
- 229910052796 boron Inorganic materials 0.000 claims description 8
- 239000003513 alkali Substances 0.000 claims description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 2
- 229910052799 carbon Inorganic materials 0.000 claims description 2
- 230000009969 flowable effect Effects 0.000 claims description 2
- 230000002378 acidificating effect Effects 0.000 claims 1
- 239000010410 layer Substances 0.000 description 67
- 239000000243 solution Substances 0.000 description 25
- 238000001020 plasma etching Methods 0.000 description 14
- 229910052581 Si3N4 Inorganic materials 0.000 description 13
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 13
- 239000012670 alkaline solution Substances 0.000 description 11
- 230000015572 biosynthetic process Effects 0.000 description 10
- 238000005137 deposition process Methods 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 238000004528 spin coating Methods 0.000 description 8
- 238000000151 deposition Methods 0.000 description 7
- 230000008570 general process Effects 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 6
- 230000007547 defect Effects 0.000 description 5
- 238000000226 double patterning lithography Methods 0.000 description 5
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 239000002253 acid Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 239000011575 calcium Substances 0.000 description 2
- 239000008367 deionised water Substances 0.000 description 2
- 229910021641 deionized water Inorganic materials 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- 239000003929 acidic solution Substances 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- RQPZNWPYLFFXCP-UHFFFAOYSA-L barium dihydroxide Chemical compound [OH-].[OH-].[Ba+2] RQPZNWPYLFFXCP-UHFFFAOYSA-L 0.000 description 1
- 229910001863 barium hydroxide Inorganic materials 0.000 description 1
- AXCZMVOFGPJBDE-UHFFFAOYSA-L calcium dihydroxide Chemical compound [OH-].[OH-].[Ca+2] AXCZMVOFGPJBDE-UHFFFAOYSA-L 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- 235000012431 wafers Nutrition 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32134—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Abstract
在實施例中,一種形成用於半導體處理之特徵的方法。第一心軸及第二心軸形成於基板上。沿著第一心軸的第一側壁形成第一間隔物,且沿著第二心軸的第二側壁形成第二間隔物。間隙界定於第一間隔物與第二間隔物之間。藉由間隙填充材料填充間隙。在一些實例中,間隙填充材料包括摻雜的矽材料。在一些實例中,第一間隔物及第二間隔物各包括摻雜的矽材料。
Description
本揭示內容的實例大體涉及形成層及在層及/或基板中圖案化特徵及/或開口。具體而言,本揭示內容的實施例提供了用於利用精確維度控制來圖案化層及/或基板中的特徵及/或開口之方法。
多重圖案化技術是為光微影術而開發的技術,以增進特徵密度和準確性。例如,雙重圖案化微影術(double patterning lithography;DPL)是增進解析度的有效技術。理論上,DPL透過間距分割(pitch splitting)使解析度倍增。在某些實作中,DPL涉及兩個單獨的曝光及蝕刻步驟(litho-etch-litho-etch,或稱為LELE或L2E2)。DPL特別適用於20 nm生產技術,並且是縮小至14 nm技術及超越其之有希望的候選解決方案之一。隨著特徵的寬度繼續縮小,為了提高特徵密度,特徵的深寬比(深度除以寬度)對於半導體晶片的層疊而言持續增加。當填充溝槽時,如果溝槽寬而淺,則相對容易完全填充溝槽。然而,隨著溝槽深寬比增加,溝槽的開口更有可能「被捏住(pinch off)」,從而在溝槽內形成孔隙(如,缺陷)。
閘極圖案和淺溝槽隔離(STI)區域的可靠形成對於積體電路的成功很重要。隨著對更大電路密度的要求增加,不僅必須減小裝置特徵尺寸,還必須減小裝置之間的隔離結構的尺寸以及高深寬比的要求。已經開發出許多不同的製程技術和材料選項以用具有最小缺陷之隔離結構的介電材料填充溝槽。不良的製程控制將導致不規則的結構輪廓、溝槽中的缺陷或溝槽的早期閉合,從而在用介電材料填充溝槽時導致溝槽中的孔隙或氣隙。
在實施例中,提供一種形成用於半導體處理之特徵的方法。在基板上形成第一心軸(mandrel)及第二心軸。沿著第一心軸的第一側壁形成第一間隔物(spacer)並沿著第二心軸的第二側壁形成第二間隔物。間隙界定於第一間隔物與第二間隔物之間。由間隙填充材料填充間隙。間隙填充材料包括摻雜的矽材料。
在另一個實施例中,提供一種形成用於半導體處理之特徵的方法。於基板上形成第一心軸及第二心軸。沿著第一心軸的第一側壁形成第一間隔物並沿著第二心軸的第二側壁形成第二間隔物。間隙界定於第一間隔物與第二間隔物之間。第一間隔物及第二間隔物各包括摻雜的矽材料。由間隙填充材料填充間隙。
在又另一實施例中,提供一種形成用於半導體處理之特徵的方法。於基板上形成第一心軸及第二心軸。第一心軸及第二心軸沿著基板平行於第一方向延伸。沿著第一心軸的第一側壁形成第一間隔物,並沿著第二心軸的第二側壁形成第二間隔物。第一間隙界定於第一間隔物與第二間隔物之間。由第一間隙填充材料填充第一間隙。以下至少一者為硼摻雜的矽:(i) 第一間隔物及第二間隔物,及(ii) 第一間隙填充材料。於第一心軸、第二心軸、第一間隔物、第二間隔物及第一間隙填充材料上形成第三心軸及第四心軸,且第三心軸及第四心軸跨越第一心軸、第二心軸、第一間隔物、第二間隔物及第一間隙填充材料。第三心軸及第四心軸沿著基板平行於第二方向延伸,第二方向與第一方向相交。沿著第三心軸的第三側壁形成第三間隔物,並沿著第四心軸的第四側壁形成第四間隔物。第二間隙界定於第三間隔物與第四間隔物之間。去除以下相應部分:(i) 透過第二間隙暴露之第一間隙填充材料,或(ii) 透過第二間隙暴露之第一心軸及第二心軸。由第二間隙填充材料填充第二間隙。去除第三心軸及第四心軸。藉由去除第三心軸及第四心軸形成相應的第三間隙。去除以下另一相應部分:(i) 透過第三間隙暴露之第一間隙填充材料,或(ii) 透過第三間隙暴露之第一心軸及第二心軸。
本文所述的實施例提供了用於在半導體製程中圖案化材料和特徵之方法。可以實施自對準多重圖案化(self-aligned multiple patterning;SAMP)製程,其中可使用期望的材料作為間隙填充材料及/或間隔物的材料。可用SAMP製程來製造具有期望的小尺寸(例如小於14奈米或更小)的奈米結構。可用SAMP製程將特徵或圖案轉移到材料層及/或基板中。在一些實例中,在SAMP製程中實施的間隙填充材料包括摻雜的矽材料。摻雜的矽材料可為III、IV或V族摻雜的矽材料,如硼摻雜的矽(Si:B)或碳摻雜的矽(SiC)。在一些實例中,在SAMP製程中實施的間隔物層和隨後由間隔物層形成的間隔物包括摻雜的矽材料。摻雜的矽材料可為III、IV或V族摻雜的矽材料,如硼摻雜的矽(Si:B)。
以下描述各種不同的實例。儘管可在一製程流或系統中一起描述不同實例的多個特徵,但是可以分別或單獨地實施各所述多個特徵及/或在不同製程流或不同系統中實施各所述多個特徵。此外,將各種製程流描述為按順序執行;而其他實例可以不同的順序及/或以更多或更少的操作來實施製程流。
以下參考各種附圖描述概括性製程。在第1、2及3A至3E到9A至9E圖之內容中描述第一概括性製程流,並在第1、2、3A至3E到4A至4E及10A至10E到14A至14E圖之內容中描述第二概括性製程流。在這些概括性製程流的上下文中描述了各種範例處理技術和材料。本案所屬技術領域中具有通常知識者將容易理解可在其他實例中實現的各種其他技術和材料。製程流可用於在層及/或基板中圖案化任何適當的結構。在一些實例中,實施製程流以在基板中形成用於淺溝槽隔離(STI)的圖案,例如用於動態隨機存取記憶體(DRAM)者。
從第一概括性製程流開始,第1圖是心軸和間隔物層形成後之結構的剖面視圖。第1圖的剖面是沿著X方向,其將隨後在佈局圖的上下文中示出。第1圖描繪基板30、底層32、第一經圖案化心軸34a、34b、34c及第一間隔物層36。基板30可以包括任何適當的半導體基板,例如,塊體基板、絕緣體上半導體(SOI)基板等。在一些實例中,基板30為塊體矽基板。基板尺寸的實例包括200 mm直徑、350 mm直徑、400 mm直徑和450 mm直徑等。在一些實例中,基板30可包括形成於半導體基板上之任何層及/或結構。例如,可以在半導體基板上形成介電層(包括層間介電質、金屬間化介電質(intermetalization dielectric)、蝕刻終止層及/或任何其他介電層),並可進一步包括任何其他結構,如金屬線、介層孔、閘極結構等。
底層32設置於基板30上。底層32可以是任何適當的材料,其可用作,如
,遮罩。在一些實例中,底層32為或包括以下者:氮化矽(SiN)、氧氮化矽(SiON)、氧化矽(SiO),其可進一步富含矽(如,
富含矽之氧化物)等,或前述者之組合。底層32可為任何合適的材料,其提供底層32與隨後在其上形成的適當層之間的蝕刻選擇性。可使用諸如化學氣相沉積(CVD)、旋塗、物理氣相沉積(PVD)等任何合適的沉積製程將底層32沉積於基板30上。
第一經圖案化心軸34a、34b、34c設置於底層32上。可藉由將心軸層沉積在底層32上並將心軸層圖案化為第一經圖案化心軸34a、34b、34c,來形成第一經圖案化心軸34a、34b、34c。心軸層可為或可包括碳層,如非晶碳(a-C)的層,或任何其他合適的層。可藉由CVD、旋塗或任何其他合適的沉積製程來沉積心軸層。接著可使用微影術及蝕刻來圖案化心軸層。可在心軸層上形成光阻,並使用微影術來圖案。可進行諸如異向性蝕刻製程等蝕刻製程,例如反應性離子蝕刻(reactive ion etching;RIE)來將光阻的圖案轉移至心軸層,以圖案化第一經圖案化心軸34a、34b、34c。
將第一間隔物層36共形地設置在第一經圖案化心軸34a、34b、34c上,並暴露底層32的部分。第一間隔物層36可為與第一經圖案化心軸34a、34b、34c相異之任何合適的材料,如任何合適的介電材料或任何合適的含矽材料。用於第一間隔物層36之合適材料的實例包括:氧化矽、氧氮化矽、氮化矽、非晶矽、多晶矽、摻雜或未摻雜的矽材料等。在一些實例中,第一間隔物層36可為或可包括非晶矽(a-Si)。在一些實例中,第一間隔物層36可為或可包括氧化矽(如,
低溫氧化物(low-temperature oxide;LTO))。在一些實例中,第一間隔物層36可為或可包括摻雜的矽材料。摻雜的矽材料可為III、IV或V族摻雜的矽材料,如硼摻雜的矽(Si:B)或碳摻雜的矽(Si:C)。在摻雜的矽材料為硼摻雜的矽之實例中,硼摻雜的矽中之硼的濃度可為近似或大於1020
cm-3
,如在約1020
cm-3
至約1023
cm-3
之範圍內。在硼摻雜的矽之此類實例中,以作為硼的濃度之方式提供蝕刻選擇性。可藉由諸如CVD、電漿增進式CVD (PECVD)、原子層沉積(ALD)等任何合適的共形沉積來沉積第一間隔物層36。
第2圖為設置於底層32上並沿著第一經圖案化心軸34a、34b、34c的相應側壁之第一間隔物36a、36b、36c、36d的剖面視圖。從第一間隔物層36圖案化第一間隔物36a、36b、36c、36d。例如,可以異向性方式蝕刻第一間隔物層36以,如,
移除第一間隔物層36的水平部分,使得第一間隔物層36的垂直部分沿著第一經圖案化心軸34a、34b、34c的相應側壁保留。異向性蝕刻製程可例如為RIE。如所示,沿著各第一經圖案化心軸34a、34b、34c的相對側壁設置一對第一間隔物。例如,沿著第一經圖案化心軸34b的第一側壁設置第一間隔物36b,並沿著第一經圖案化心軸34b的第二相對側壁設置第一間隔物36c。進而,於相鄰的第一經圖案化心軸34a、34b、34c上之相對的第一間隔物36a、36b、36c、36d之間界定間隙。例如,於第一間隔物36a、36b之間界定間隙,並於第一間隔物36c、36d之間界定間隙。
第3A、3B、3C、3D及3E圖描繪在第一間隔物36a、36b、36c、36d之間的間隙中形成第一間隙填充材料38a、38b後之各種視圖。第3A圖是佈局視圖,並在沿著X方向之不同位置處描繪截面B-B、C-C,且在沿著Y方向之不同位置處描繪截面D-D、E-E。第3B圖為截面B-B的剖面視圖。第3C圖為截面C-C的剖面視圖。第3D圖為截面D-D的剖面視圖。第3E圖為截面E-E的剖面視圖。隨後以「B」、「C」、「D」和「E」表示的附圖同樣分別沿著相應結構的B-B、C-C、D-D和E-E截面。如第3A圖所繪示,第一經圖案化心軸34a、34b、34c經圖案化而沿著與截面B-B、C-C相交之Y方向延伸。隨著第一經圖案化心軸34a、34b、34c之此圖案化,第一間隔物36a、36b、36c、36c及第一間隙填充材料38a、38b同樣沿著Y方向延伸。
第一間隙填充材料38a、38b設置在底層32上且在相對的第一間隔物36a、36b、36c、36d之間的間隙中。第一間隙填充材料38a、38b可填充相對的第一間隔物36a、36b、36c、36d之間的間隙。可將間隙填充材料沉積在間隙中且在第一間隔物36a、36b、36c、36d及第一經圖案化心軸34a、34b、34c上,且在一些情況中,隨後經回蝕(etched-back)而形成第一間隙填充材料38a、38b。在一些實例中,間隙填充材料可包括或可為摻雜的矽材料。摻雜的矽材料可為III、IV或V族摻雜的矽材料,如硼摻雜的矽(Si:B)。在摻雜的矽材料為硼摻雜的矽之實例中,硼摻雜的矽中之硼的濃度可為近似或大於1020
cm‑3
,如在約1020
cm‑3
至約1024
cm‑3
之範圍內。在硼摻雜的矽之此類實例中,以作為硼的濃度之方式提供蝕刻選擇性。在一些實例中,摻雜的矽材料可為或可包括碳摻雜的矽材料、磷摻雜的矽或其他合適的III族、IV族或V族摻雜的矽材料。在一些實例中,間隙填充材料可為或可包括碳摻雜的矽或另一種材料。在一些實例中,間隙填充材料可為或可包括氧化矽(如,
旋塗玻璃(SOG))。間隙填充材料可以是在間隙填充材料與(1) 第一間隔物36a、36b、36c、36d及(2) 第一經圖案化心軸34a、34b、34c之間提供蝕刻選擇性之任何合適的材料。
可以藉由適當的沉積製程(如使用流動機制來沉積間隙填充材料之製程)來沉積間隙填充材料。使用流動機制(flowing mechanism)的範例沉積製程包括可流動CVD (FCVD)和旋塗。可使用其他沉積製程。隨後,可以執行超載蝕刻製程(overburden etch process),以從第一間隔物36a、36b、36c、36d和第一經圖案化心軸34a、34b、34c上方去除任何間隙填充材料。超載蝕刻製程可為任何合適的蝕刻製程,如乾式電漿蝕刻製程。因此,可形成第一間隙填充材料38a、38b,使得第一間隙填充材料38a、38b的頂表面,第一間隔物36a、36b、36c、36d以及第一經圖案化心軸34a、34b、34c實質上共面(co-planar)。
如前所述,在一些實例中,第一間隙填充材料38a、38b為摻雜的矽材料(如
,硼摻雜的矽或碳摻雜的矽),且第一間隔物36a、36b、36c、36d為另一種不同的材料,如氧化矽或非晶矽。在其他實例中,第一間隔物36a、36b、36c、36d為摻雜的矽材料(如,
硼摻雜的矽或碳摻雜的矽),且第一間隙填充材料38a、38b為另一種不同的材料,如氧化矽。咸信,摻雜的矽材料可在來自其他不同的材料(可為氧化矽或非晶矽)之後續圖案化製程中提供良好的蝕刻選擇性。蝕刻選擇性可以在蝕刻製程後導致第一間隙填充材料38a、38b的良好輪廓。
可以在低於通常用在沉積如非摻雜矽(如
,非晶矽或多晶矽)等其他含矽材料的溫度之溫度下沉積摻雜的矽材料(如
,硼摻雜的矽)。例如,可以低於攝氏250度之沉積溫度藉由CVD製程形成摻雜的矽材料(如,
硼摻雜的矽),所述沉積溫度如低於攝氏220度,且更具體而言,如介於約攝氏150度與約攝氏200度之間。針對摻雜的矽材料之相對低的沉積溫度可以為材料之選擇和變化提供較寬的製程窗(process window),所述材料如用於第一間隔物36a、36b、36c、36d及第一經圖案化心軸34a、34b、34c之材料。例如,當第一間隙填充材料38a、38b為摻雜的矽材料時,低的沉積製程溫度容許與第一間隙填充材料38a、38b接觸之第一經圖案化心軸34a、34b、34c及第一間隔物36a、36b、36c、36d由能夠承受達攝氏250度之溫度下的製程而沒有實質性的材料特性改變、膜變形、熱分解(化學性或物理性)及/或不同的改變類型等之材料所製成,這樣的材料在更高的溫度下可能無法承受這樣的處理而不發生這樣的改變。結果,可首先就例如第一經圖案化心軸34a、34b、34c選擇某些類型的碳材料、聚合物材料、有機材料或光阻材料,其通常可以承受低於攝氏250度之溫度,例如高於攝氏100度但低於攝氏250度。材料的這種額外可用性可允許在隨後的(多個)蝕刻製程期間有增強的蝕刻選擇性。
以使用流動機制之沉積製程來沉積間隙填充材料可提供良好的間隙填充能力,而可填充在間隔物之間界定的間隙。例如,FCVD及/或旋塗可允許間隙填充材料填充間隙,而在間隔物之間界定的間隙中之間隙填充材料中沒有明顯的接縫或孔隙。此外,使用這種沉積製程所沉積之摻雜的矽材料可進一步提供良好的間隙填充能力。例如,在第一間隙填充材料38a、38b是硼濃度為至少1022
cm-3
的硼摻雜的矽之情況下,第一間隔物36a、36b、36c、36d的材料被選擇為非晶矽層,以提供良好的輪廓控制。當第一間隙填充材料38a、38b為碳摻雜的矽材料時,咸信可減少形成某些缺陷(如在填充溝槽時的接縫)的可能性。在一些實例中,可在間隙內填充無接縫的第一間隙填充材料38a、38b。
第4A、4B、4C、4D及4E圖描繪第二經圖案化心軸44a、44b、44c及第二間隔物46a、46b、46c、46d的形成。可使用如上文所述與第一經圖案化心軸34a、34b、34c有關之製程形成第二經圖案化心軸44a、44b、44c。第二經圖案化心軸44a、44b、44c被圖案化以在一方向上延伸,該方向與第一經圖案化心軸34a、34b、34c延伸的相應方向相交。如所繪示,第二經圖案化心軸44a、44b、44c在X方向上延伸,所述X方向垂直於第一經圖案化心軸34a、34b、34c延伸的Y方向。在一些實例中,第一經圖案化心軸34a、34b、34c和第二經圖案化心軸44a、44b、44c延伸之相交的方向可為非垂直的。可使用上文所述與第一間隔物36a、36b、36c、36d有關之製程來形成第二間隔物46a、46b、46c、46d。在一些實例中,第二經圖案化心軸44a、44b、44c的材料為或包括氮化矽(SiN)等,且第二間隔物46a、46b、46c、46d的材料為或包括氧化矽(如,
LTO)、非晶矽等。第二經圖案化心軸44a、44b、44c的材料可以是在第二經圖案化心軸44a、44b、44c與(1) 第二間隔物46a、46b、46c、46d及(2) 第一間隙填充材料38a、38b之間提供蝕刻選擇性之任何材料。第二間隔物46a、46b、46c、46d的材料可以是在第二間隔物46a、46b、46c、46d與(1) 第二經圖案化心軸44a、44b、44c、(2) 第一間隙填充材料38a、38b及(3) 第一經圖案化心軸34a、34b、34c之間提供蝕刻選擇性之任何材料。
第5A、5B、5C、5D及5E圖描繪了穿過第一間隙填充材料38a、38b之第一切口50的形成。可藉由選擇性地蝕刻第一間隙填充材料38a、38b的暴露部分(如,
透過第二間隔物46a、46b、46c、46d之間的間隙所暴露者)來形成第一切口50。蝕刻製程可為異向性蝕刻製程,如像RIE之乾式電漿蝕刻製程。藉由被切割之第一間隙填充材料38a、38b的相鄰區段之間的第一切口50暴露底層32。
第6A、6B、6C、6D及6E圖描繪第二間隙填充材料52a、52b之形成。第二間隙填充材料52a、52b位在相對的第二間隔物46a、46b、46c、46d之間的間隙內。第二間隙填充材料52a、52b進一步位在第一經圖案化心軸34a、34b、34c及第一間隔物36a、36b、36c、36d的頂表面上,並設置在第一切口50中(如,
在底層32的頂表面上並沿著界定第一切口50之第一間隙填充材料38a、38b和第一間隔物36a、36b、36c、36d的側壁)。第二間隙填充材料52a、52b可填充相對的第二間隔物46a、46b、46c、46d之間的間隙。可將間隙填充材料沉積在間隙中且在第二間隔物46a、46b、46c、46d及第二經圖案化心軸44a、44b、44c上,且在一些情況中,隨後經回蝕(etched-back)而形成第二間隙填充材料52a、52b。在一些實例中,間隙填充材料可包括或可為氧化矽。在一些實例中,間隙填充材料可包括或可為矽,如非晶矽。間隙填充材料可為或可包括在間隙填充材料與(1) 第二經圖案化心軸44a、44b、44c及(2) 第一經圖案化心軸34a、34b、34c之間提供蝕刻選擇性之任何材料。可藉由任何合適的沉積製程(如CVD、PVD、旋塗等)來沉積間隙填充材料。在一些實例中,間隙填充材料為藉由旋塗沉積之氧化矽(如
,旋塗玻璃(SOG))。隨後,可以執行超載蝕刻製程(overburden etch process),以從第二間隔物46a、46b、46c、46d和第二經圖案化心軸44a、44b、44c上方去除任何間隙填充材料。超載蝕刻製程可為任何合適的蝕刻製程,如乾式電漿蝕刻製程。因此,可形成第二間隙填充材料52a、52b,使得第二間隙填充材料52a、52b的頂表面,第二間隔物46a、46b、46c、46d以及第二經圖案化心軸44a、44b、44c實質上共面(co-planar)。
第7A、7B、7C、7D及7E圖描繪第二經圖案化心軸44a、44b、44c的移除及穿過第一經圖案化心軸34a、34b、34c之第二切口54的形成。可藉由蝕刻製程移除第二經圖案化心軸44a、44b、44c。蝕刻製程可對第二經圖案化心軸44a、44b、44c具選擇性。第二經圖案化心軸44a、44b、44c之移除暴露出當移除第二經圖案化心軸44a、44b、44c而在第二間隔物46a、46b、46c、46d之間暴露之第一經圖案化心軸34a、34b、34c、第一間隔物36a、36b、36c、36d及第一間隙填充材料38a、38b的部分。接著可藉由選擇性地蝕刻第一經圖案化心軸34a、34b、34c的暴露部分(如,透過第二間隔物46a、46b、46c、46d之間的間隙所暴露者)來形成第二切口54。蝕刻製程可為異向性蝕刻製程,如像RIE之乾式電漿蝕刻製程。藉由被切割之第一經圖案化心軸34a、34b、34c的相鄰區段之間的第二切口54暴露底層32。可藉由相同的連續蝕刻製程或分開的蝕刻製成來進行第二經圖案化心軸44a、44b、44c的移除和第二切口54的形成。例如,可執行對第二經圖案化心軸44a、44b、44c和第一經圖案化心軸34a、34b、34c具選擇性之相同的連續異向性蝕刻製程。作為另一個實例,可執行對第二經圖案化心軸44a、44b、44c具選擇性的同向性或異向性蝕刻製程,隨後進行對第一經圖案化心軸34a、34b、34c具選擇性的異向性蝕刻製程。
第8A、8B、8C、8D及8E圖描繪第二間隔物46a、46b、46c、46d、第二間隙填充材料52a、52b及第一間隔物36a、36b、36c、36d之移除。可藉由一或多個蝕刻製程進行此移除。例如,第二間隔物46a、46b、46c、46d、第二間隙填充材料52a、52b和第一間隔物36a、36b、36c、36d的材料可以相同或通常可以具有相同的蝕刻選擇性,且因此可藉由相同的連續蝕刻製程移除第二間隔物46a、46b、46c、46d、第二間隙填充材料52a、52b和第一間隔物36a、36b、36c、36d。在材料不同之處,可執行不同的蝕刻製程。(多個)蝕刻製程可為等向性(如濕式蝕刻製程)或可為異向性。
在第二間隙填充材料52a、52b及第一間隔物36a、36b、36c、36d為氧化矽(如,
分別為SOG及LTO)的一些實例中,可使用濕式蝕刻製程來移除第二間隙填充材料52a、52b和第一間隔物36a、36b、36c、36d二者,所述濕式蝕刻製程使用稀釋氫氟酸(dilute hydrofluoric acid;dHF)、緩衝的氫氟酸(buffered hydrofluoric acid;BHF)及/或緩衝的氧化蝕刻劑(buffered oxide etchant;BOE)。在一些實例中,此類濕式蝕刻製程中之蝕刻溶液為弱酸性溶液。弱酸性溶液可溫和地蝕刻第一間隔物36a、36b、36c、36d而不會積極地侵蝕其他層,如第一間隙填充材料38a、38b (其可為硼摻雜的矽或碳摻雜的矽)及第一經圖案化心軸34a、34b、34c。酸溶液包括蝕刻劑,如HF、BOE等。蝕刻溶液中可使用一種以上的蝕刻劑。在一實例中,酸溶液為期望的pH值,如介於3與7之間。
在第二間隙填充材料52a、52b為氧化矽(如,
SOG)且第一間隔物36a、36b、36c、36d為非晶矽的一些實例中,使用dHF之濕式蝕刻製程可被用來移除第二間隙填充材料52a、52b,且使用氫氧化銨(NH4
OH)、鹼性溶液(alkali solution)、鹼土溶液(alkaline solution)或前述者之組合(如氫氧化鉀(KOH)及NH4
OH之混合物,或KOH及鹼性溶液之混合物)之濕式蝕刻製程可被用來移除第一間隔物36a、36b、36c、36d。在一些實例中,此類濕式蝕刻製程中之蝕刻溶液為或包括鹼性溶液或鹼土溶液。鹼性溶液或鹼土溶液可溫和地蝕刻第一間隔物36a、36b、36c、36d (如,
非晶矽)而不會積極地侵蝕其他層,如第一間隙填充材料38a、38b (其可為硼摻雜的矽或碳摻雜的矽)及第一經圖案化心軸34a、34b、34c。鹼性溶液或鹼土溶液可包括一或多種蝕刻劑,如氫氧化鉀(KOH)、氫氧化鈉(NaOH)、氫氧化鋇(Ba(OH)2
)和氫氧化鈣(Ca(OH)2
)等,其可進一步包括NH4
OH。可以將蝕刻劑以期望的濃度範圍溶解在去離子水(DI水)中,以將鹼性溶液或鹼土溶液保持在期望的pH水平,例如在7至12之間,例如在8至11之間。在實例中,用於濕式蝕刻製程之鹼性溶液或鹼土溶液含有至少一種鹼性或鹼土化學物質,在去離子水中,所述鹼性或鹼土化學物質具有以重量計介於約0.1百分比(%)與約60百分比(%)之間的濃度。鹼性溶液或鹼土溶液也可利用另一種試劑,例如氧化劑、緩衝化合物或添加劑。
在第二間隙填充材料52a、52b為矽(如,
a-Si)且第一間隔物36a、36b、36c、36d為硼摻雜的矽之一些實例中,使用包含鹼性溶液或鹼土溶液(如包括KOH、NaOH、Ba(OH)2
及Ca(OH)2
)的溶液之濕式蝕刻製程可被用來移除第二間隙填充材料52a、52b,且使用包含鹼性溶液或鹼土溶液(如包括KOH、NaOH、Ba(OH)2
及Ca(OH)2
)的溶液之濕式蝕刻製程可被用來移除第一間隔物36a、36b、36c、36d。
在任何前述實例之濕式蝕刻製程中,濕式蝕刻製程的蝕刻溶液可具有在從約10°C至約100 °C的範圍內之溶液溫度,如22.5 °C附近,例如約室溫。可以將其上設置有各種層、心軸及/或間隔物的基板30浸入蝕刻溶液中達從約5秒至約500秒之範圍內的時間段,如從約10秒至約100秒。
可在儲槽(tank)、水槽(sink)、浴或其他合適的容器中提供蝕刻溶液。在濕式蝕刻製程期間,蝕刻溶液可以被攪拌(agitate)、旋動(stir)或循環,這可增進整個基板30上的製程條件均勻性。並且,可以將兆聲或超聲功率施加至蝕刻溶液,這可以增進處理效率。可持續執行濕式蝕刻製程,直到如第8A至8E圖所示去除第一間隔物36a、36b、36c、36d的期望部分為止。
在移除第二間隔物46a、46b、46c、46d、第二間隙填充材料52a、52b及第一間隔物36a、36b、36c、36d之後,第一經圖案化心軸34a、34b、34c及第一間隙填充材料38a、38b的切口區段(cut segment)維持在底層32上。底層32之不在第一經圖案化心軸34a、34b、34c及第一間隙填充材料38a、38b的切口區段下方之部分被暴露。
第9A、9B、9C、9D及9E圖描繪第一經圖案化心軸34a、34b、34c及第一間隙填充材料38a、38b的切口區段的圖案轉移至底層32。此圖案的轉移可藉由任何適當的蝕刻製程進行,例如異向性蝕刻製程,如RIE。底層32的圖案化可以是圖案化的最終目標,或可用於形成後續將圖案轉移至基板30內所用之遮罩。
下表1示出根據不同實例,可就第一概括性製程流之各種組成部分實作之材料。
表1 | ||||
實例(1) | 實例(2) | 實例(3) | 實例(4) | |
第一經圖案化心軸34a至34c | a-C | a-C | a-C | a-C |
第一間隔物36a至36d | a-Si | SiO (如, LTO) | Si:B | a-Si |
第一間隙填充材料38a至38b | Si:B | Si:B | SiO (如, LTO) | Si:C |
第二經圖案化心軸44a至44c | SiN | SiN | SiN | SiN |
第二間隔物46a至46d | SiO (如, LTO) | SiO (如, LTO) | a-Si | SiO (如, LTO) |
第二間隙填充材料52a至52b | SiO (如, SOG) | SiO (如, SOG) | a-Si | SiO (如, SOG) |
至於第二概括性製程流,如上文參照第1、2、3A至3E及4A至4E圖描述般進行處理。
第10A、10B、10C、10D及10E圖描繪穿過第一經圖案化心軸34a、34b、34c之第一切口60的形成。可藉由選擇性地蝕刻第一經圖案化心軸34a、34b、34c的暴露部分(如,透過第二間隔物46a、46b、46c、46d之間的間隙所暴露者)來形成第一切口60。蝕刻製程可為異向性蝕刻製程,如像RIE之乾式電漿蝕刻製程。藉由被切割之第一經圖案化心軸34a、34b、34c的相鄰區段之間的第一切口60暴露底層32。
第11A、11B、11C、11D及11E圖描繪第二間隙填充材料52a、52b之形成。第二間隙填充材料52a、52b在相對的第二間隔物46a、46b、46c、46d之間的間隙內。第二間隙填充材料52a、52b進一步位在第一間隙填充材料38a、38b及第一間隔物36a、36b、36c、36d的頂表面上,並設置在第一切口60中(如,在底層32的頂表面上並沿著界定第一切口60之第一經圖案化心軸34a、34b、34c和第一間隔物36a、36b、36c、36d的側壁)。第二間隙填充材料52a、52b可填充相對的第二間隔物46a、46b、46c、46d之間的間隙。可將間隙填充材料沉積在間隙中且在第二間隔物46a、46b、46c、46d及第二經圖案化心軸44a、44b、44c上,且在一些情況中,隨後經回蝕(etched-back)而形成第二間隙填充材料52a、52b。在一些實例中,間隙填充材料可包括或可為氧化矽(如,
SOG)。在一些實例中,間隙填充材料可包括或可為摻雜的矽(如,
硼摻雜的矽或碳摻雜的矽)。間隙填充材料可為或可包括在間隙填充材料與(1) 第二經圖案化心軸44a、44b、44c及(2) 第一間隙填充材料38a、38b、38c之間提供蝕刻選擇性之任何材料。可藉由任何合適的沉積製程(如CVD、PVD、旋塗等)來沉積間隙填充材料。在一些實例中,間隙填充材料為藉由旋塗沉積之氧化矽(如,旋塗玻璃(SOG))。隨後,如前所述,可以執行超載蝕刻製程(overburden etch process),以從第二間隔物46a、46b、46c、46d和第二經圖案化心軸44a、44b、44c上方去除任何間隙填充材料。
第12A、12B、12C、12D及12E圖描繪第二經圖案化心軸44a、44b、44c的移除及穿過第一間隙填充材料38a、38b之第二切口62的形成。可藉由蝕刻製程移除第二經圖案化心軸44a、44b、44c。蝕刻製程可對第二經圖案化心軸44a、44b、44c具選擇性。第二經圖案化心軸44a、44b、44c之移除暴露出當移除第二經圖案化心軸44a、44b、44c而在第二間隔物46a、46b、46c、46d之間暴露之第一經圖案化心軸34a、34b、34c、第一間隔物36a、36b、36c、36d及第一間隙填充材料38a、38b的部分。接著可藉由選擇性地蝕刻第一間隙填充材料38a、38b的暴露部分(如
,透過第二間隔物46a、46b、46c、46d之間的間隙所暴露者)來形成第二切口62。蝕刻製程可為異向性蝕刻製程,如像RIE之乾式電漿蝕刻製程。藉由被切割之第一間隙填充材料38a、38b的相鄰區段之間的第二切口62暴露底層32。可藉由相同的連續蝕刻製程或分開的蝕刻製成來進行第二經圖案化心軸44a、44b、44c的移除和第二切口62的形成。例如,可執行對第二經圖案化心軸44a、44b、44c和第一間隙填充材料38a、38b具選擇性之相同的連續異向性蝕刻製程。作為另一個實例,可執行對第二經圖案化心軸44a、44b、44c具選擇性的同向性或異向性蝕刻製程,隨後進行對第一間隙填充材料38a、38b具選擇性的異向性蝕刻製程。
第13A、13B、13C、13D及13E圖描繪如上所述之第二間隔物46a、46b、46c、46d、第二間隙填充材料52a、52b及第一間隔物36a、36b、36c、36d之移除。在移除第二間隔物46a、46b、46c、46d、第二間隙填充材料52a、52b及第一間隔物36a、36b、36c、36d之後,第一經圖案化心軸34a、34b、34c及第一間隙填充材料38a、38b的切口區段(cut segment)維持在底層32上。底層32之不在第一經圖案化心軸34a、34b、34c及第一間隙填充材料38a、38b的切口區段下方之部分被暴露。
第14A、14B、14C、14D及14E圖描繪如上所述之第一經圖案化心軸34a、34b、34c及第一間隙填充材料38a、38b的切口區段的圖案轉移至底層32。
下表2示出根據不同實例,可就第二概括性製程流之各種組成部分實作之材料。
表2 | ||||
實例(1) | 實例(2) | 實例(3) | 實例(4) | |
第一經圖案化心軸34a至34c | a-C | a-C | a-C | a-C |
第一間隔物36a至36d | a-Si | SiO (如, LTO) | Si:B | a-Si |
第一間隙填充材料38a至38b | Si:B | Si:B | SiO (如, LTO) | Si:C |
第二經圖案化心軸44a至44c | SiN | SiN | SiN | SiN |
第二間隔物46a至46d | SiO (如, LTO) | SiO (如, LTO) | a-Si | SiO (如, LTO) |
第二間隙填充材料52a至52b | SiO (如, SOG) | SiO (如, SOG) | Si:B | SiO (如, SOG) |
如所描述的,提供了用於多重圖案化製程以將小尺寸的特徵轉移到材料層的方法。在圖案化製程期間,可以使用間隙填充材料來填充於間隙/溝槽中,所述間隙填充材料為摻雜的矽或包括摻雜的矽。可將這樣的間隙填充材料形成在間隙/溝槽中而在其中沒有形成諸如孔隙等實質缺陷。在一些實例中,可在圖案化製程期間使用間隔物,所述間隔物為摻雜的矽或包括摻雜的矽。多重圖案化製程利用間隔物與心軸還有間隙填充材料之間的高蝕刻選擇性製程,從而可以獲得心軸及/或間隔物以及間隙填充材料之期望輪廓,其可以用作遮罩層,而有助於奈米尺寸特徵轉移製程。
第15圖描繪第一經圖案化心軸34及第一間隙填充材料38的切口區段的圖案之佈局。此佈局顯示各自在第一方向上延伸之交替的第一經圖案化心軸34和第一間隙填充材料38。此佈局亦顯示各自在第二方向上延伸之交替的第二經圖案化心軸44和第二間隙填充材料52,所述第二方向與第一方向相交。本案所屬技術領域中具通常知識者將容易理解到,相應的第一間隔物可位在相鄰的第一經圖案化心軸34與第一間隙填充材料38之間,且相應的第二間隔物可位在相鄰的第二經圖案化心軸44與第二間隙填充材料52之間。如所示,第一間隙填充材料38的第一切口50形成在第一間隙填充材料38與第二間隙填充材料52相交的位置(從佈局的角度看)。第一經圖案化心軸34的第二切口54形成在第一經圖案化心軸34與第二經圖案化心軸44相交的位置(從佈局的角度看)。可藉由實施上述包括第5A至5E圖到第9A至9E圖的第一製程流來形成此圖案。
儘管未圖解,但可在不同位置處形成具有切口的相似圖案。可如第15圖所示般設置第一經圖案化心軸34、第一間隙填充材料38、第二經圖案化心軸44及第二間隙填充材料52。第一經圖案化心軸34的第一切口60可形成在第一經圖案化心軸34與第二間隙填充材料52相交的位置(從佈局的角度看)。第一間隙填充材料38的第二切口62形成在第一間隙填充材料38與第二經圖案化心軸44相交的位置(從佈局的角度看)。可藉由實施上述包括第10A至10E圖到第14A至14E圖的第二製程流來形成此圖案。
雖然前述內容係針對本揭示內容之實施例,但可在不脫離本揭示內容基本範疇下設計出本揭示內容的其他及進一步實施例,且本揭示內容之範疇由以下申請專利範圍來決定。
30:基板
32:底層
34a,34b,34c:第一經圖案化心軸
36,36a,36b,36c,36d:第一間隔物
38a,38b:第一間隙填充材料
44a,44b,44c:第二經圖案化心軸
46a,46b,46c,46d:第二間隔物
50:第一切口
52a,52b:第二間隙填充材料
54:第二切口
60:第一切口
62:第二切口
因此,可詳細理解本揭示內容之上述實施例的特徵之方式,即可參照實施例更具體描述上文簡要概述之本揭示內容,其中一些實施例圖示於隨附圖式中。然而,請注意,附圖僅示出了此揭示內容的典型實施例,因此不應視為對範圍的限制,因為本揭示內容可以允許其他等效實施例。
第1、2、3A-3E、4A-4E、5A-5E、6A-6E、7A-7E、8A-8E及9A-9E圖描繪根據一些實例之自對準多重圖案化(SAMP)製程期間之相應結構的各種視圖。
第10A-10E、11A-11E、12A-12E、13A-13E及14A-14E圖描繪根據一些實例之SAMP製程期間之相應結構的各種視圖。
第15圖描繪根據一些實例之第一經圖案化心軸及第一間隙填充材料的切口區段的圖案之佈局。
為了便於理解,儘可能使用相同的元件符號來表示圖中共有的相同元件。可以預期,一個實施例中揭示的元件可以在沒有具體敘述的情況下有益地用於其他實施例。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
34a,34b,34c:第一經圖案化心軸
36a,36b,36c,36d:第一間隔物
38a,38b:第一間隙填充材料
Claims (20)
- 一種形成用於半導體處理之特徵的方法,該方法包含以下步驟: 於一基板上形成一第一心軸(mandrel)及一第二心軸; 沿著該第一心軸的一第一側壁形成一第一間隔物(spacer)並沿著該第二心軸的一第二側壁形成一第二間隔物,一間隙界定於該第一間隔物與該第二間隔物之間;以及 由一間隙填充材料填充該間隙,該間隙填充材料包含一摻雜的矽材料。
- 如請求項1所述之方法,其中該第一心軸及該第二心軸各為碳。
- 如請求項1所述之方法,進一步包含以下步驟:藉由一濕式蝕刻製程選擇性地去除該第一間隔物及該第二間隔物,其中該濕式蝕刻製程不蝕刻該間隙填充材料。
- 如請求項3所述之方法,其中藉由該間隙填充材料無縫地填充該間隙。
- 如請求項3所述之方法,其中該摻雜的矽材料為硼摻雜的矽,所述硼摻雜的矽具有介於1020 cm‑3 與1024 cm‑3 之間的一硼濃度。
- 如請求項5所述之方法,其中該硼濃度為至少1022 cm‑3 。
- 如請求項5所述之方法,其中該第一間隔物及該第二間隔物各為非晶矽。
- 如請求項7所述之方法,其中該濕式蝕刻製程包含一蝕刻溶液,該蝕刻溶液包含一鹼(alkali)或鹼土(alkaline)蝕刻劑。
- 如請求項8所述之方法,其中該鹼或鹼土蝕刻劑為以下至少一者:KOH、NaOH、Ca(OH)2 及Ba(OH)2 。
- 如請求項5所述之方法,其中該第一間隔物及該第二間隔物各為在低溫下沉積之氧化矽。
- 如請求項10所述之方法,其中該濕式蝕刻製程包含一蝕刻溶液,該蝕刻溶液具有一酸性蝕刻劑。
- 如請求項3所述之方法,其中該摻雜的矽材料為碳摻雜的矽。
- 如請求項12所述之方法,其中藉由可流動CVD(FCVD)沉積該碳摻雜的矽。
- 如請求項12所述之方法,其中該第一間隔物及該第二間隔物各為非晶矽。
- 如請求項14所述之方法,其中該濕式蝕刻製程包含一蝕刻溶液,該蝕刻溶液包含一鹼(alkali)或鹼土(alkaline)蝕刻劑。
- 如請求項15所述之方法,其中該鹼或鹼土蝕刻劑為以下至少一者:KOH、NaOH、Ca(OH)2 及Ba(OH)2 。
- 一種形成用於半導體處理之特徵的方法,該方法包含以下步驟: 於一基板上形成一第一心軸(mandrel)及一第二心軸; 沿著該第一心軸的一第一側壁形成一第一間隔物(spacer)並沿著該第二心軸的一第二側壁形成一第二間隔物,一間隙界定於該第一間隔物與該第二間隔物之間,該第一間隔物及該第二間隔物各包含一摻雜的矽材料;以及 由一間隙填充材料填充該間隙。
- 一種形成用於半導體處理之特徵的方法,該方法包含以下步驟: 於一基板上形成一第一心軸(mandrel)及一第二心軸,該第一心軸及該第二心軸沿著該基板平行於一第一方向延伸; 沿著該第一心軸的一第一側壁形成一第一間隔物(spacer)並沿著該第二心軸的一第二側壁形成一第二間隔物,一第一間隙界定於該第一間隔物與該第二間隔物之間; 由一第一間隙填充材料填充該第一間隙,以下至少一者為硼摻雜的矽:(i) 該第一間隔物及該第二間隔物,及(ii) 該第一間隙填充材料; 於該第一心軸、該第二心軸、該第一間隔物、該第二間隔物及該第一間隙填充材料上形成一第三心軸及一第四心軸,並且該第三心軸及該第四心軸跨越該第一心軸、該第二心軸、該第一間隔物、該第二間隔物及該第一間隙填充材料,該第三心軸及該第四心軸沿著該基板平行於一第二方向延伸,該第二方向與該第一方向相交; 沿著該第三心軸的一第三側壁形成一第三間隔物並沿著該第四心軸的一第四側壁形成一第四間隔物,一第二間隙界定於該第三間隔物與該第四間隔物之間; 去除以下相應部分:(i) 透過該第二間隙暴露之該第一間隙填充材料,或(ii) 透過該第二間隙暴露之該第一心軸及該第二心軸; 由一第二間隙填充材料填充該第二間隙; 去除該第三心軸及該第四心軸,藉由去除該第三心軸及該第四心軸形成相應的第三間隙;以及 去除以下另一相應部分:(i) 透過該等第三間隙暴露之該第一間隙填充材料,或(ii) 透過該等第三間隙暴露之該第一心軸及該第二心軸。
- 如請求項18所述之方法,其中該第一間隔物及該第二間隔物為硼摻雜的矽。
- 如請求項18所述之方法,其中該第一間隙填充材料為硼摻雜的矽。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962852944P | 2019-05-24 | 2019-05-24 | |
US62/852,944 | 2019-05-24 | ||
US16/853,500 US11145509B2 (en) | 2019-05-24 | 2020-04-20 | Method for forming and patterning a layer and/or substrate |
US16/853,500 | 2020-04-20 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202109619A true TW202109619A (zh) | 2021-03-01 |
Family
ID=73456125
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109115993A TW202109619A (zh) | 2019-05-24 | 2020-05-14 | 用於形成與圖案化層及/或基板之方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US11145509B2 (zh) |
JP (1) | JP2022534897A (zh) |
KR (1) | KR20220000407A (zh) |
CN (1) | CN113785380A (zh) |
TW (1) | TW202109619A (zh) |
WO (1) | WO2020242645A1 (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11881402B2 (en) | 2020-09-30 | 2024-01-23 | Applied Materials, Inc. | Self aligned multiple patterning |
US20220344217A1 (en) * | 2021-04-22 | 2022-10-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming semiconductor structure |
Family Cites Families (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3181357B2 (ja) | 1991-08-19 | 2001-07-03 | 株式会社東芝 | 半導体薄膜の形成方法および半導体装置の製造方法 |
JP3761918B2 (ja) | 1994-09-13 | 2006-03-29 | 株式会社東芝 | 半導体装置の製造方法 |
US5863598A (en) | 1996-04-12 | 1999-01-26 | Applied Materials, Inc. | Method of forming doped silicon in high aspect ratio openings |
US6410090B1 (en) | 1998-09-29 | 2002-06-25 | Applied Materials, Inc. | Method and apparatus for forming insitu boron doped polycrystalline and amorphous silicon films |
US6197669B1 (en) | 1999-04-15 | 2001-03-06 | Taiwan Semicondcutor Manufacturing Company | Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process |
JP4193017B2 (ja) | 2000-09-26 | 2008-12-10 | Jsr株式会社 | ホウ素でドープされたシリコン膜の形成方法 |
US7468306B2 (en) * | 2005-05-31 | 2008-12-23 | Qimonds Ag | Method of manufacturing a semiconductor device |
US20100275995A1 (en) | 2009-05-01 | 2010-11-04 | Calisolar, Inc. | Bifacial solar cells with back surface reflector |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US20130189845A1 (en) | 2012-01-19 | 2013-07-25 | Applied Materials, Inc. | Conformal amorphous carbon for spacer and spacer protection applications |
US8785997B2 (en) * | 2012-05-16 | 2014-07-22 | Infineon Technologies Ag | Semiconductor device including a silicate glass structure and method of manufacturing a semiconductor device |
KR20140008863A (ko) | 2012-07-12 | 2014-01-22 | 에스케이하이닉스 주식회사 | 더블 spt를 이용한 반도체 소자의 미세패턴 형성방법 |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9698015B2 (en) | 2013-10-21 | 2017-07-04 | Applied Materials, Inc. | Method for patterning a semiconductor substrate |
US9177797B2 (en) | 2013-12-04 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithography using high selectivity spacers for pitch reduction |
US9123776B2 (en) | 2013-12-04 | 2015-09-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned double spacer patterning process |
US9577100B2 (en) * | 2014-06-16 | 2017-02-21 | Globalfoundries Inc. | FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions |
US9548201B2 (en) | 2014-06-20 | 2017-01-17 | Applied Materials, Inc. | Self-aligned multiple spacer patterning schemes for advanced nanometer technology |
US10553532B2 (en) * | 2014-12-24 | 2020-02-04 | Intel Corporation | Structure and method to self align via to top and bottom of tight pitch metal interconnect layers |
KR102354473B1 (ko) * | 2015-06-15 | 2022-01-24 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
US9865539B2 (en) * | 2016-03-09 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure |
US9911611B2 (en) * | 2016-03-17 | 2018-03-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming openings in a material layer |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US9881794B1 (en) | 2016-11-29 | 2018-01-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor methods and devices |
US10535520B2 (en) * | 2017-04-28 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin patterning methods for increased process margins |
US20180323061A1 (en) | 2017-05-03 | 2018-11-08 | Tokyo Electron Limited | Self-Aligned Triple Patterning Process Utilizing Organic Spacers |
US10510540B2 (en) | 2017-07-15 | 2019-12-17 | Micromaterials Llc | Mask scheme for cut pattern flow with enlarged EPE window |
US10991584B2 (en) * | 2017-12-19 | 2021-04-27 | International Business Machines Corporation | Methods and structures for cutting lines or spaces in a tight pitch structure |
-
2020
- 2020-04-20 US US16/853,500 patent/US11145509B2/en active Active
- 2020-04-21 WO PCT/US2020/029073 patent/WO2020242645A1/en active Application Filing
- 2020-04-21 CN CN202080032737.5A patent/CN113785380A/zh active Pending
- 2020-04-21 KR KR1020217042105A patent/KR20220000407A/ko unknown
- 2020-04-21 JP JP2021569896A patent/JP2022534897A/ja active Pending
- 2020-05-14 TW TW109115993A patent/TW202109619A/zh unknown
-
2021
- 2021-08-27 US US17/459,839 patent/US20220013359A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US11145509B2 (en) | 2021-10-12 |
US20200373159A1 (en) | 2020-11-26 |
KR20220000407A (ko) | 2022-01-03 |
WO2020242645A1 (en) | 2020-12-03 |
US20220013359A1 (en) | 2022-01-13 |
CN113785380A (zh) | 2021-12-10 |
JP2022534897A (ja) | 2022-08-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7628932B2 (en) | Wet etch suitable for creating square cuts in si | |
US7709341B2 (en) | Methods of shaping vertical single crystal silicon walls and resulting structures | |
TW201914025A (zh) | 半導體裝置與其製作方法 | |
CN112466945B (zh) | 半导体结构及其形成方法 | |
TW201905987A (zh) | 半導體裝置與其形成方法 | |
US20220013359A1 (en) | Method for forming and patterning a layer and/or substrate | |
CN110349906B (zh) | 一种自对准沟槽的形成方法 | |
TW201913884A (zh) | 用於半導體製造之方法 | |
WO2013029210A1 (zh) | 后栅工艺中假栅的制造方法 | |
CN104064469A (zh) | 半导体器件制造方法 | |
CN108010966B (zh) | 一种半导体器件的制造方法 | |
CN106952911B (zh) | 鳍式半导体器件的形成方法 | |
CN114823310A (zh) | 存储器件、半导体结构及其形成方法 | |
CN114373755A (zh) | 半导体器件、半导体结构及其形成方法 | |
TWI811783B (zh) | 半導體結構的形成方法 | |
CN111081547A (zh) | 半导体器件及其形成方法 | |
US20240087950A1 (en) | Wet etch process and methods to form air gaps between metal interconnects | |
US20240105455A1 (en) | Methods to provide uniform wet etching of material within high aspect ratio features provided on a patterned substrate | |
TWI440133B (zh) | 半導體元件及其製造方法 | |
CN104599968B (zh) | 场效应晶体管及其制备方法 | |
CN114203627A (zh) | 半导体结构的形成方法 | |
CN116564963A (zh) | 半导体结构及其形成方法 | |
CN109411406A (zh) | 半导体结构及其形成方法 |