TWI811783B - 半導體結構的形成方法 - Google Patents

半導體結構的形成方法 Download PDF

Info

Publication number
TWI811783B
TWI811783B TW110133207A TW110133207A TWI811783B TW I811783 B TWI811783 B TW I811783B TW 110133207 A TW110133207 A TW 110133207A TW 110133207 A TW110133207 A TW 110133207A TW I811783 B TWI811783 B TW I811783B
Authority
TW
Taiwan
Prior art keywords
fin
layer
dielectric
dielectric layer
source
Prior art date
Application number
TW110133207A
Other languages
English (en)
Other versions
TW202243006A (zh
Inventor
柯忠廷
陳玟儒
黃泰鈞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202243006A publication Critical patent/TW202243006A/zh
Application granted granted Critical
Publication of TWI811783B publication Critical patent/TWI811783B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

提供半導體結構的形成方法,包括形成第一與第二鰭狀結構,其中第一與第二鰭狀結構的每一者包括交錯堆疊的第一半導體層與第二半導體層;以及形成第一遮罩結構以覆蓋第二鰭狀結構。第一遮罩結構包括第一介電層與第二介電層位於第一介電層上,且第一介電層與第二介電層的材料不同。形成第一源極/汲極結構於第一鰭狀結構中;移除第一遮罩結構;形成第二源極/汲極結構於第二鰭狀結構中;移除第一鰭狀結構與第二鰭狀結構的第一半導體層,以形成第一奈米結構與第二奈米結構;以及形成閘極堆疊於第一奈米結構與第二奈米結構周圍。

Description

半導體結構的形成方法
本發明實施例關於半導體結構的形成方法,更特別關於分開形成不同裝置區中的源極/汲極結構。
電子產業對更小、更快、且同時支援越來越複雜的大量功能的電子裝置的需求持續成長。綜上所述,半導體產業中的持續趨勢為製造低成本、高效能、低能耗的積體電路。目前為止,達成這些目標的主要方法為縮小半導體積體電路尺寸(如最小結構尺寸),進而改善產能並降低相關成本。然而縮小尺寸會使半導體製造製程更複雜。為了實現半導體積體電路與裝置單元的持續進展,半導體製造製程與技術亦需類似進展。
近來已導入多閘極裝置以增加閘極-通道耦合、減少關閉狀態電流、並減少短通道效應,以改善閘極控制。多閘極裝置之一為全繞式閘極電晶體。全繞式閘極電晶體的名稱來自於閘極結構可延伸包覆通道區,以經由兩側或四側提供通路至通道。全繞式閘極裝置可與習知的互補式金氧半製程及其結構相容,因此可在大幅縮小尺寸時維持閘極控制並緩解短通道效應。在習知製程中,全繞式閘極裝置可提供矽奈米線中的通道。然而,整合奈米線周圍的全繞式閘極結構的製作方法可能面臨挑戰。舉例來說,雖然現有方法符合許多方面的需求,但仍需持續改善方法。
在一些實施例中,提供半導體結構的形成方法。方法包括形成第一鰭狀結構與第二鰭狀結構於基板上,且第一鰭狀結構與第二鰭狀結構的每一者包括交錯堆疊的多個第一半導體層與多個第二半導體層。方法亦包括形成第一遮罩結構以覆蓋第二鰭狀結構。第一遮罩結構包括第一介電層與第二介電層位於第一介電層上,且第一介電層與第二介電層的材料不同。方法亦包括形成第一源極/汲極結構於第一鰭狀結構中;移除第一遮罩結構;以及形成第二源極/汲極結構於第二鰭狀結構中。方法亦包括移除第一鰭狀結構與第二鰭狀結構的每一者的第一半導體層,以自第一鰭狀結構的第二半導體層形成多個第一奈米結構,並自第二鰭狀結構的第二半導體層形成多個第二奈米結構;以及形成閘極堆疊於第一奈米結構與第二奈米結構周圍。
在一些實施例中,提供半導體結構的形成方法。方法包括交錯堆疊第一半導體層與第二半導體層於基板上;以及圖案化第一半導體層與第二半導體層成第一鰭狀結構於基板的第一區中,以及第二鰭狀結構於基板的第二區中。方法亦包括蝕刻第一鰭狀結構以形成第一凹陷,並蝕刻第二鰭狀結構以形成第二凹陷;以及形成第一遮罩結構以覆蓋第二凹陷並露出第一凹陷。第一遮罩結構包括第一介電層與第二介電層位於第一介電層上,且第一介電層的介電常數低於第二介電層的介電常數。方法亦包括形成第一源極/汲極結構於第一凹陷中;以及移除第一遮罩結構。方法亦包括形成第二遮罩結構以覆蓋第一源極/汲極結構並露出第二凹陷;形成第二源極/汲極結構於第二凹陷中;以及移除第二遮罩結構。
在一些實施例中,提供半導體結構的形成方法。方法包括形成第一鰭狀結構與第二鰭狀結構於基板上;以及形成第一凹陷於第一鰭狀結構的第一源極/汲極區中,並形成第二凹陷於第二鰭狀結構的第二源極/汲極區中。方法亦包括依序形成第一介電層與第二介電層於第一凹陷與第二凹陷中,第一介電層的組成為含矽介電材料,而第二介電層的組成為兩性金屬的氧化物。方法亦包括形成介電遮罩於第二介電層上並覆蓋第二凹陷;以及移除第一凹陷中的第一介電層與第二介電層的第一部分與介電遮罩。方法亦包括成長第一源極/汲極結構於第一凹陷中的第一鰭狀結構上。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此處說明實施例的一些變化。在多種例示性的實施例中,類似標號用於標示類似單元。應理解在方法之前、之中、與之後可提供額外步驟,且方法的其他實施例可取代或省略此處所述的一些步驟。
此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5 nm」包含的尺寸範圍為4.5 nm至5.5 nm。
可由任何合適方法圖案化下述的全繞式閘極電晶體結構。舉例來說,可採用一或多道光微影製程圖案化結構,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例可形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。可採用自對準製程沿著圖案化的犧牲層的側部形成間隔物。接著可移除犧牲層,而保留的間隔物之後可用於圖案化全繞式閘極結構。
實施例提供半導體結構的形成方法。本發明實施例關於分開形成不同裝置區中的源極/汲極結構。方法可包括形成含矽介電遮罩層、形成高介電常數的介電遮罩層於含矽介電遮罩層上、圖案化介電遮罩層成源極/汲極遮罩結構以覆蓋一裝置區並露出另一裝置區,接著成長源極/汲極結構於露出的裝置區中。本發明實施例採用含矽介電遮罩層作為源極/汲極遮罩結構的底層,其可提供低介電常數的間隔物(如閘極間隔物層及/或內側間隔物)的損失量與源極/汲極遮罩結構的殘留量之間的良好平衡。因此可加大圖案化源極/汲極遮罩結構的製程容許範圍。
圖1係一些實施例中,半導體結構的透視圖。如圖1所示的一些實施例,可接收或提供半導體結構100。在一些實施例中,半導體結構100包括基板102與鰭狀結構104位於基板102上。雖然圖1顯示一個鰭狀結構104,但可形成多個鰭狀結構104於半導體結構100的單一裝置區及/或多個裝置區上。
為了方便理解半導體結構,本發明實施例的圖式提供X-Y-Z參考座標。X軸與Y軸通常沿著橫向(水平)方向且平行於基板102的主要表面。Y軸橫向於(如實質上垂直於)X軸。Z軸的方向通常沿著垂直於基板102的主要表面(或X-Y平面)的垂直方向。
在一些實施例中,鰭狀結構104包括自基板102的一部分形成的下側鰭狀物單元104L,以及自含有交錯的第一半導體層106與第二半導體層108的磊晶堆疊形成的上側鰭狀物單元。在一些實施例中,鰭狀結構104可延伸於X方向中。在一些實施例中,鰭狀結構104的縱軸可平行於X方向。X方向亦可視作通道延伸的方向。最終的半導體裝置(如全繞式閘極場效電晶體)的電流可在X方向中流動穿過通道。
在一些實施例中,鰭狀結構104包括通道區CH與源極/汲極區SD,其中通道區CH定義於源極/汲極區SD之間。在本發明實施例中,源極/汲極指的是源極及/或汲極。值得注意的是,本發明實施例的源極與汲極可互換,且其結構實質上相同。圖1顯示一個通道區CH與兩個源極/汲極區SD,其用於說明目的而非侷限本發明實施例。通道區CH的數目與源極/汲極區SD的數目,取決於半導體裝置設計需求及/或效能考量。閘極堆疊的閘極結構(未圖示)的縱軸可平行於Y方向,且可延伸越過及/或圍繞鰭狀結構104的通道區CH。Y方向亦可視作閘極延伸的方向。
圖1更顯示一些實施例中,後續圖式所用的參考剖面。在一些實施例中,剖面X-X為平行於縱軸(如X方向)並穿過鰭狀結構104的平面。在一些實施例中,剖面Y-Y為平行於縱軸(Y方向)並越過鰭狀結構104的源極/汲極區SD的平面。
圖2A-1至2U-2係一些實施例中,形成半導體結構的多種中間階段的剖視圖,其中圖式末尾為「-1」者(如圖2A-1)為對應圖1所示的剖面X-X的剖視圖,而圖式末尾為「-2」者(如圖2A-2)為對應圖1所示的剖面Y-Y的剖視圖。
圖2A-1及2A-2係一些實施例中,形成鰭狀結構104A及104B與隔離結構110之後的半導體結構100的剖視圖。
如圖2A-1及2A-2所示的一些實施例,可提供或接收半導體結構100,其包括基板102與鰭狀結構104A及104B。基板102可為半導體晶圓、半導體晶片(或晶粒)、或類似物的一部分。在一些實施例中,基板102為矽基板。在一些實施例中,基板102包括半導體元素如鍺;半導體化合物如氮化鎵、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。此外,基板102可視情況包含磊晶層、可具有應力以增進效能、可包含絕緣層上矽結構、及/或可具有其他合適的增進結構。
如圖2A-1及2A-2所示的一些實施例,基板102包含鰭狀結構104A形成其中的第一裝置區50A,以及鰭狀結構104B形成其中的第二裝置區50B。在一些實施例中,第一裝置區50A為p型裝置區且用於形成p型半導體裝置(比如p型通道奈米結構電晶體如p型通道全繞式閘極電晶體)。在一些實施例中,第二裝置區50B為n型裝置區且用於形成n型半導體裝置(比如n型通道奈米結構電晶體如n型通道全繞式閘極電晶體)。雖然第一裝置區50A與第二裝置區50B在圖式中彼此緊鄰,但可物理分隔第一裝置區50A與第二裝置區50B。第一裝置區50A與第二裝置區50B之間可具有任何數目的裝置結構(如其他主動裝置、摻雜區、隔離結構、或類似物)。
在一些實施例中,形成鰭狀結構104A及104B的方法,可採用磊晶成長製程以形成磊晶堆疊於基板102上。在一些實施例中,磊晶堆疊可包含交錯的第一半導體層106與第二半導體層108。磊晶成長製程可為分子束磊晶、有機金屬化學氣相沉積、氣相磊晶、或另一合適技術。
在一些實施例中,第一半導體層106的組成為第一半導體材料,而第二半導體層108的組成為第二半導體材料。在一些實施例中,第一半導體層106所用的第一半導體材料與第二半導體層108所用的第二半導體材料具有不同的晶格常數。在一些實施例中,第一半導體材料與第二半導體材料具有不同的氧化速率及/或蝕刻選擇性。在一些實施例中,第一半導體層106的組成為矽鍺,而矽鍺中的鍺%為約20原子%制約50原子%。第二半導體層108的組成為純矽或實質上純矽。在一些實施例中,第一半導體層106為Si 1-xGe x(其中x大於約0.3)或鍺(x=1.0),而第二半導體層108為矽或Si 1-yGe y(其中y小於約0.4,且x>y)。
在一些實施例中,第一半導體層106設置為犧牲層,之後可移除以形成間隙以容納閘極材料。第二半導體層108將形成橫向延伸於源極/汲極結構之間的奈米結構(如奈米線或奈米片),且可作為最終半導體裝置(如奈米結構電晶體)所用的通道。此處所述的用語「奈米結構」指的是具有圓柱狀、棒狀、及/或片狀的半導體層。在一些實施例中,可形成閘極堆疊(未圖示)以包覆奈米結構。
在一些實施例中,每一第一半導體層106的厚度可為約5 nm至約20 nm。在一些實施例中,每一第二半導體層108的厚度可為約5 nm至約20 nm。第二半導體層108的厚度可大於、等於、或小於第二保護層108的厚度,端視移除第一半導體層106後遺留的空間中所填入的閘極材料量而定。雖然圖1A-1及1A-2顯示三個第一半導體層106與三個第二半導體層108,但半導體層的數目不限於3,而可為1、2、或超過3且小於20。藉由調整半導體層的數目,可調整最終全繞式閘極場效電晶體的驅動電流。
在一些實施例中,接著將含有第一半導體層106與第二半導體層108的磊晶堆疊圖案化成第一裝置區50A中的鰭狀結構104A,與第二裝置區50B中的鰭狀結構104B。在一些實施例中,圖案化製程包括形成圖案化的硬遮罩層(未圖示)於磊晶堆疊上。在一些實施例中,接著進行蝕刻製程以移除圖案化的硬遮罩層未覆蓋的磊晶堆疊的部分與其下方的基板102,進而形成溝槽與自溝槽之間凸起的鰭狀結構104A及104B。蝕刻製程可為非等向蝕刻製程,比如乾式電漿蝕刻。
在一些實施例中,自相鄰的溝槽之間凸起的基板102的部分可形成鰭狀結構104A及104B的下側鰭狀物單元104L。在一些實施例中,磊晶堆疊的保留部分(包括第一半導體層106與第二半導體層108)形成鰭狀結構104A及104B的上側鰭狀物單元於個別的下側鰭狀物單元104L上。
在一些實施例中,鰭狀結構104A及104B延伸於X方向中。在一些實施例中,鰭狀結構104A及104B的縱軸平行於X方向。圖2A-2顯示第一裝置區50A與第二裝置區50B各自具有一個鰭狀結構,其僅用於說明目的而非侷限本發明實施例。第一裝置區50A與第二裝置區50B中的鰭狀結構104A及104B的數目,可取決於半導體裝置設計需求及/或效能考量。
如圖2A-2所示的一些實施例,形成隔離結構110以圍繞鰭狀結構104A及104B的下側鰭狀物單元104L。在一些實施例中,隔離結構110設置為電性隔離半導體結構100的主動區(如鰭狀結構104A及104B),且亦可視作淺溝槽隔離結構。
在一些實施例中,形成隔離結構110的方法包括形成絕緣材料以超填溝槽。在一些實施例中,絕緣材料的組成為氧化矽、氮化矽、氮氧化矽、另一合適的絕緣材料、上述之多層、及/或上述之組合。在一些實施例中,絕緣材料的沉積方法可採用化學氣相沉積(如低壓化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、高深寬比製程、或可流動的化學氣相沉積)、原子層沉積、另一合適技術、及/或上述之組合。在一些實施例中,絕緣材料可為雙層,比如襯墊層與襯墊層之上的基體層。襯墊層可修復形成鰭狀結構的蝕刻製程時所造成的損傷。基體層可具有良好的填隙能力,以填入溝槽而不形成孔洞或縫隙於其中。
在一些實施例中,在絕緣材料上進行平坦化製程,以移除高於圖案化的硬遮罩層(未圖示)的絕緣材料的一部分,直到露出圖案化的硬遮罩層(未圖示)。在一些實施例中,平坦化製程中亦移除圖案化的硬遮罩層,並露出鰭狀結構104A及104B的上表面。平坦化製程可為化學機械研磨、回蝕刻製程、或上述之組合。在一些實施例中,接著以蝕刻製程(如乾式電漿蝕刻及/或濕式化學蝕刻)使絕緣材料凹陷,直到露出鰭狀結構104A或104B的上側鰭狀物單元。凹陷的絕緣材料形成隔離結構110。
圖2B-1及2B-2係一些實施例中,形成虛置閘極結構112之後的半導體結構100的剖視圖。
如圖2B-1所示的一些實施例,虛置閘極結構112形成於半導體結構100上。在一些實施例中,虛置閘極結構112延伸越過並圍繞鰭狀結構104A及104B的通道區,以定義通道區與源極/汲極區。在一些實施例中,虛置閘極結構112設置為犧牲結構,之後將置換成最終閘極堆疊。在一些實施例中,虛置閘極結構112延伸於Y方向中。在一些實施例中,虛置閘極結構112的縱軸平行於Y方向。圖2B-1顯示一個虛置閘極結構112,其用於說明目的而非侷限本發明實施例。虛置閘極結構112的數目取決於半導體裝置設計需求及/或效能考量。
如圖2B-1所示的一些實施例,虛置閘極結構112包括虛置閘極介電層114與形成於虛置閘極介電層114上的虛置閘極層116。在一些實施例中,虛置閘極介電層114的組成可為一或多種介電材料,比如氧化矽、氮化矽、氮氧化矽、氧化鉿、氧化鉿鋯、氧化鉿矽、氧化鉿鈦、氧化鉿鋁、及/或上述之組合。在一些實施例中,介電材料的形成方法可採用原子層沉積、化學氣相沉積、熱氧化、物理氣相沉積、另一合適技術、及/或上述之組合。在一些實施例中,虛置閘極層116的組成為半導體材料如多晶矽或多晶矽鍺。在一些實施例中,虛置閘極層116的組成為導電材料如金屬氮化物、金屬矽化物、金屬、及/或上述之組合。在一些實施例中,虛置閘極層116的材料的形成方法可採用化學氣相沉積、另一合適技術、及/或上述之組合。
在一些實施例中,形成虛置閘極結構112的方法包括全面順應性地沉積虛置閘極介電層114所用的介電材料於半導體結構100上、沉積虛置閘極層116所用的材料於介電材料上、平坦化虛置閘極層116所用的材料、並圖案化介電材料與虛置閘極層116所用的材料成虛置閘極結構112。在一些實施例中,圖案化製程包括形成圖案化的硬遮罩層(未圖示)於虛置閘極層116所用的材料上,以覆蓋鰭狀結構104A及104B的通道區。在一些實施例中,蝕刻移除圖案化的硬遮罩層未覆蓋的虛置閘極層116與介電材料所用的材料,直到露出鰭狀結構104A及104B的源極/汲極區。
圖2C-1及2C-2係一些實施例中,形成閘極間隔物層120及122之後的半導體結構100的剖視圖。
如圖2C-1及2C-2所示的一些實施例,依序形成閘極間隔物層120及122於半導體結構100上。在一些實施例中,閘極間隔物層120及122覆蓋並沿著虛置閘極結構112 (與圖案化的遮罩層,若存在)的上表面與側壁、鰭狀結構104A及104B的上側鰭狀物單元的上表面與側壁、與隔離結構110的上表面。
在一些實施例中,閘極間隔物層120及122的組成為含矽介電材料,比如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、及/或摻雜氧的碳氮化矽。在一些實施例中,可全面地順應性沉積閘極間隔物層120及122,且沉積方法可採用原子層沉積、化學氣相沉積(如低壓化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、高深寬比製程、或可流動的化學氣相沉積)、另一合適方法、及/或上述之組合。
在一些實施例中,閘極間隔物層120及122的組成為低介電常數的介電材料。舉例來說,閘極間隔物層120及122的介電常數可低於氧化矽的介電常數,比如低於4.2,或者小於或等於約3.9 (如約3.5至約3.9)。在一些實施例中,閘極間隔物層120與閘極間隔物層122的組成為不同材料且具有不同的介電常數。舉例來說,閘極間隔物層120為碳氮氧化矽層,而閘極間隔物層122為摻雜氧的碳氮化矽層。碳氮氧化矽層中的氧濃度,可大於摻雜氧的碳氮化矽層中的氧濃度。在一些實施例中,閘極間隔物層120的厚度為約10 Å至約60 Å。在一些實施例中,閘極間隔物層122的厚度為約15 Å至約90 Å。
圖2D-1及2D-2係一些實施例中,形成源極/汲極凹陷128A及128B之後的半導體結構100的剖視圖。
如圖2D-1及2D-2所示的一些實施例,進行一或多道蝕刻製程以移除閘極間隔物層120及122的水平部分,接著使鰭狀結構104A及104B的源極/汲極區凹陷以形成源極/汲極凹陷128A於第一裝置區50A中,並形成源極/汲極凹陷128B於第二裝置區50B中。一或多個蝕刻製程可為非等向蝕刻製程(如乾式電漿蝕刻)、等向蝕刻製程(如乾式化學蝕刻、遠端電漿蝕刻、或濕式化學蝕刻)、及/或上述之組合。
舉例來說,一些實施例進行第一蝕刻製程以沿著橫向表面(比如虛置閘極結構112的上表面、鰭狀結構104A及104B的上側鰭狀物單元的上表面、與隔離結構110的上表面)移除閘極間隔物層120及122的水平部分。在一些實施例中,第一蝕刻製程之後可露出鰭狀結構104A及104B的源極/汲極區。在一些實施例中,閘極間隔物層120及122的垂直部分保留於虛置閘極結構112的側壁上以作為閘極間隔物。在一些實施例中,閘極間隔物用於使後續形成的源極/汲極結構偏離,並分開源極/汲極結構與閘極結構。此外如圖2D-2所示的一些實施例,閘極間隔物層120及122的垂直部分亦保留於鰭狀結構104A及104B的上側鰭狀物單元的側壁上,以作為鰭狀物間隔物層120’及122’。
在一些實施例中,之後可採用閘極間隔物層120及122與虛置閘極結構112作為蝕刻遮罩並進行第二蝕刻製程,使鰭狀結構104A及104B的源極/汲極區凹陷,因此源極/汲極凹陷128A及128B自對準虛置閘極結構112的兩側。在一些實施例中,進行第一蝕刻製程與第二蝕刻製程時不需額外的光微影製程。在一些實施例中,源極/汲極凹陷128A及128B穿過鰭狀結構104的上側鰭狀物單元。在一些實施例中,源極/汲極凹陷128A及128B的下表面可延伸至實質上低於隔離結構110的上表面的位置。
圖2E-1及2E-2係一些實施例中,形成缺口130之後的半導體結構100的剖視圖。
一些實施例在半導體結構100上進行蝕刻製程,自源極/汲極凹陷128A及128B使鰭狀結構104A及104B的第一半導體層106橫向凹陷以形成缺口130,如圖2E-2所示。在一些實施例中,蝕刻製程中的第一半導體層106的蝕刻速率(或蝕刻量)大於第二半導體層108的蝕刻速率(或蝕刻量),以形成缺口130於相鄰的第二半導體層108之間,以及最下側的第二半導體層108與下側鰭狀物單元104L之間。在一些實施例中,蝕刻製程為等向蝕刻如乾蝕刻、遠端電漿蝕刻、濕式化學蝕刻、另一合適技術、及/或上述之組合。在一些實施例中,缺口130自源極/汲極區向通道區延伸,且直接位於閘極間隔物層120及122之下。在一些實施例中,缺口130的側壁為弧形(比如第一半導體層的表面朝向通道區凹陷)。在一些實施例中,以蝕刻製程使鰭狀結構104A及104B的第二半導體層108的末端部分凹陷。
圖2F-1及2F-2係一些實施例中,形成內側間隔物層132之後的半導體結構100的剖視圖。
如圖2F-1所示的一些實施例,形成內側間隔物層132於缺口130中,以鄰接第一半導體層106的凹陷側表面。在一些實施例中,內側間隔物層132插置於後續形成的源極/汲極結構與閘極堆疊之間,以避免源極/汲極結構直接接觸閘極堆疊,並設置為減少金屬閘極堆疊與源極/汲極結構之間的寄生電容(如閘極與源極之間的電容,以及閘極與汲極之間的電容)。
在一些實施例中,內側間隔物層132的組成為含矽的介電材料,比如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、及/或摻雜氧的碳氮化矽。在一些實施例中,內側間隔物層132的組成為低介電常數的介電材料。舉例來說,內側間隔物層132的介電常數可低於氧化矽的介電常數,比如低於4.2或小於或等於約3.9 (比如約3.5至約3.9)。
在一些實施例中,全面且順應性地沉積內側間隔物層132所用的介電材料於半導體結構100上以填入缺口130,接著回蝕刻介電材料以移除缺口130之外的介電材料,以形成內側間隔物層132。在一些實施例中,保留於缺口130中的介電材料可形成內側間隔物層132。在一些實施例中,沉積製程包括原子層沉積、化學氣相沉積(如電漿輔助化學氣相沉積、低壓化學氣相沉積、或高深寬比製程)、另一合適技術、及/或上述之組合。在一些實施例中,回蝕刻製程包括非等向蝕刻製程(如乾式電漿蝕刻)、等向蝕刻製程(如乾式化學蝕刻、遠端電漿蝕刻、或濕式化學蝕刻)、及/或上述之組合。在一些實施例中,由於順應性的沉積製程,可能形成縫隙(未圖示)於內側間隔物層132中。
如圖2G-1至2L-2所示,形成第二裝置區50B所用的源極/汲極遮罩結構。圖2G-1及2G-2係一些實施例中,形成第一介電遮罩層134與第二介電遮罩層136之後的半導體結構100的剖視圖。
如圖2G-1及2G-2所示的一些實施例,第一介電遮罩層134形成於半導體結構100上。在一些實施例中,第一介電遮罩層134沿著並覆蓋源極/汲極凹陷128A及128B的下表面與側壁、閘極間隔物層122及120的側壁與上表面、以及虛置閘極層116的上表面。第一介電遮罩層134亦沿著並覆蓋鰭狀物間隔物層120’及122’的上表面與側壁以及隔離結構110的上表面。
在一些實施例中,第一介電遮罩層134的組成為含矽的介電材料,比如氧化矽、氮化矽、碳化矽、氮氧化矽、另一合適的含矽介電材料、及/或上述之組合。在一些實施例中,全面順應性地沉積第一介電遮罩層134的方法可採用原子層沉積、化學氣相沉積(如低壓化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、高深寬比製程、或可流動的化學氣相沉積)、另一合適方法、及/或上述之組合。
在一些實施例中,第一介電遮罩層134的組成不同於閘極間隔物層120及122與內側間隔物132的組成。第一介電遮罩層134的蝕刻選擇性不同於閘極間隔物層120及122與內側間隔物132的蝕刻選擇性。在一些實施例中,第一介電遮罩層134的組成為低介電常數(如小於約7)的介電材料。在一實施例中,第一介電遮罩層134的組成為氧化矽。在一些實施例中,第一介電遮罩層134的介電常數,大於閘極間隔物層120及122與內側間隔物132的介電常數。在一些實施例中,第一介電遮罩層134的厚度T1為約10 Å至約50 Å。
如圖2G-1及2G-2所示的一些實施例,可形成第二介電遮罩層136於第一介電遮罩層134上。在一些實施例中,第一介電遮罩層134與第二介電遮罩層136可部分填入源極/汲極凹陷128A及128B。
在一些實施例中,第二介電遮罩層136的組成為無矽的介電材料。在一些實施例中,第二介電遮罩層136的組成為兩性金屬的氧化物,比如氧化鋅、氧化鋁、氧化錫、氧化鉛、氧化鈹、氧化鉻(如一氧化鉻、三氧化二鉻、或四氧化三鉻)、及/或上述之組合。在一些實施例中,全面順應性地沉積第二介電遮罩層136的方法可採用原子層沉積、化學氣相沉積(如低壓化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、高深寬比製程、或可流動的化學氣相沉積)、物理氣相沉積、另一合適方法、或上述之組合。在一些實施例中,第二介電遮罩層136亦可形成於晶圓的背側與側壁(或斜面)上。在沉積製程之後,可進行清潔製程以移除晶圓的背側與側壁(或斜面)上的第二介電遮罩層136。不清潔晶圓前側上的第二介電遮罩層136,因此無材料損失。
在一些實施例中,第二介電遮罩層136的組成材料與第一介電遮罩層134不同。在一些實施例中,第二介電遮罩層136的蝕刻選擇性與第一介電遮罩層134不同。在一些實施例中,第二介電遮罩層136的組成為高介電常數(如大於約7)的介電材料。在一些實施例中,第二介電遮罩層136的組成為氧化鋁。在一些實施例中,第二介電遮罩層136的介電常數高於第一介電遮罩層134、閘極間隔物層120及122、與內側間隔物132的介電常數。在一些實施例中,第二介電遮罩層136的厚度T2為約10 Å至約50 Å。在一些實施例中,第二介電遮罩層136的厚度T2可大於、實質上等於、或小於第一介電遮罩層134的厚度T1。
圖2H-1及2H-2係一些實施例中,形成三層遮罩結構之後的半導體結構100的剖視圖。
如圖2H-1及2H-2所示的一些實施例,填充層138形成於第二介電遮罩層136上,並超填源極/汲極凹陷128A及128B的其餘部分。在一些實施例中,填充層138形成於虛置閘極結構112的上表面上。填充層138可作為平坦化層,以提供後續光微影製程所用的實質上平坦表面。
在一些實施例中,填充層138為底抗反射塗層如無機材料或有機材料(如聚合物、寡聚物、或單體)。在一些實施例中,填充層138的組成為含碳與氧的有機材料,其組成為交聯的光敏材料。在一些實施例中,填充層138的形成方法可為旋轉塗佈製程、化學氣相沉積製程(如低壓化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、高深寬比製程、或可流動的化學氣相沉積)、另一合適方法、或上述之組合。在一些實施例中,填充層138的蝕刻選擇性與第二介電遮罩層136不同。在一些實施例中,填充層138的厚度T3為約700 Å至約1100 Å,其可自源極/汲極凹陷128A或128B的底部量測。
在一些實施例中,三層遮罩結構形成於填充層138上。如圖2H-1及2H-2所示的一些實施例,三層遮罩結構包括第三介電遮罩層140作為底層、底抗反射塗層142作為中間層、與及頂部光阻遮罩144。在一些實施例中,第三介電遮罩層140形成於填充層138上。在一些實施例中,第三介電遮罩層140的組成為無矽的介電材料。在一些實施例中,第三介電遮罩層140的組成為兩性金屬的氧化物,比如氧化鋅、氧化鋁、氧化錫、氧化鉛、氧化鈹、氧化鉻(如一氧化鉻、三氧化二鉻、或四氧化三鉻)、及/或上述之組合。在一些實施例中,全面順應性地沉積第三介電遮罩層140的方法可採用原子層沉積、化學氣相沉積(如低壓化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、高深寬比製程、或可流動的化學氣相沉積)、物理氣相沉積、另一合適方法、或上述之組合。
在一些實施例中,第三介電遮罩層140的組成為高介電常數(如大於約7)的介電材料。在一些實施例中,第三介電遮罩層140的組成材料與第二介電遮罩層136相同。在一些實施例中,第三介電遮罩層140的組成為氧化鋁。在一些實施例中,第三介電遮罩層140的蝕刻選擇性不同於下方的填充層138與上方的底抗反射塗層142的蝕刻選擇性。在一些實施例中,第三介電遮罩層140的厚度T4為約30 Å至約70 Å。在一些實施例中,第三介電遮罩層140的厚度T4大於第一介電遮罩層134的厚度T1與第二介電遮罩層136的厚度T2。
在一些實施例中,底抗反射塗層142形成於填充層138上。底抗反射塗層142可與上述的底抗反射塗層材料類似或相同。在一些實施例中,底抗反射塗層142的厚度T5為約150 Å至約250 Å。在一些實施例中,底抗反射塗層142的厚度T5大於第三介電遮罩層140的厚度T3。
在一些實施例中,頂部光阻遮罩144形成於底抗反射塗層142上。在一些實施例中,頂部光阻遮罩144覆蓋基板102的第二裝置區50B,且未覆蓋第一裝置區50A。在一些實施例中,頂部光阻遮罩144的厚度T6為約700 Å至約900 Å。在一些實施例中,頂部光阻遮罩144的厚度T6大於底抗反射塗層142的厚度T5。
在一些實施例中,頂部光阻遮罩144的形成方法為光微影製程。光微影製程可包含形成光阻層於底抗反射塗層142上(如旋轉塗佈)、進行曝光前烘烤製程、採用光罩進行曝光製程、進行曝光後烘烤製程、以及進行顯影製程。在曝光製程時,光阻層可曝光至射線能量(如紫外光、深紫外光、或極紫外光),其中光罩阻擋、穿透、及/或反射射線至光阻層,端視光照的光照圖案及/或光罩種類而定,以投影對應光罩圖案的影像至光阻層上。由於光阻層對射線能量敏感,光阻層的曝光部分將產生化學變化,而光阻層的曝光部分(或未曝光部分)在顯影製程時將溶解,端視光阻層的特性與顯影製程中所用的顯影溶液的特性而定。在顯影之後,圖案化的光阻層形成底部光阻遮罩144,其包括光阻圖案以對應光罩。
圖2I-1及2I-2係一些實施例中,進行一或多道蝕刻製程之後的半導體結構100的剖視圖。
如圖2I-1及2I-2所示的一些實施例,採用頂部光阻遮罩144進行一或多道蝕刻製程,以移除基板102的第一裝置區50A中的底抗反射塗層142、第三介電遮罩層140、與填充層138的部分,並露出第一裝置區50A中的第二介電遮罩層136。一些實施例在一或多道蝕刻製程之後,露出第二裝置區50B中的第三介電遮罩層140的一部分。
舉例來說,可進行第一蝕刻製程以移除第一裝置區50A中的底抗反射塗層142的一部分,直到露出第三介電遮罩層140 (其可作為第一蝕刻製程中的蝕刻停止層)。在一些實施例中,第一蝕刻製程可為非等向蝕刻製程(如乾式電漿蝕刻)、等向蝕刻製程(如乾式化學蝕刻、遠端電漿蝕刻、或濕式化學蝕刻)、灰化製程、及/或上述之組合。在第一蝕刻製程之後可完全消耗第二裝置區50B中的頂部光阻遮罩144,或者改由額外的灰化製程移除第二裝置區50B中的頂部光阻遮罩144,以露出第二裝置區50B中的底抗反射塗層142的一部分,其可作為後續蝕刻製程所用的蝕刻遮罩。
接著可進行第二蝕刻製程以移除第一裝置區50A中的第三介電遮罩層140的一部分,直到露出填充層138 (其可作為第二蝕刻製程中的蝕刻停止層)。在一些實施例中,第二蝕刻製程為濕蝕刻製程,其採用pH值為約3至約6.8的酸性溶液,或pH值為約7.2至約11的鹼性溶液。在一些實施例中,第二蝕刻製程採用Caros溶液,其包含硫酸與過氧化氫。在第二蝕刻製程之後,可由額外的蝕刻製程或灰化製程移除第二裝置區50B中的底抗反射塗層142,以露出第二裝置區50B中的第三介電遮罩層140的一部分。第三介電遮罩層140可作為後續蝕刻製程所用的蝕刻遮罩。
之後可進行第三蝕刻製程以移除第一裝置區50A中的填充層138的一部分,直到露出第二介電遮罩層136。第二介電遮罩層136可作為第三蝕刻製程中的蝕刻停止層。在一些實施例中,第三蝕刻製程可為非等向乾蝕刻製程(如乾式電漿蝕刻)、等向蝕刻製程(如乾式化學蝕刻、遠端電漿蝕刻、或濕式化學蝕刻)、灰化製程、及/或上述之組合。在第三蝕刻製程之後,第二裝置區50B中的第三介電遮罩層140的一部分可維持實質上未蝕刻,且可作為後續蝕刻製程中的蝕刻遮罩。
圖2J-1及2J-2係一些實施例中,移除第一裝置區50A中的第二介電遮罩層136的一部分之後的半導體結構100的剖視圖。
如圖2J-1及2J-2所示的一些實施例,進行蝕刻製程以移除第一裝置區50A中的第二介電遮罩層136的部分,以露出第一裝置區50A中的第一介電遮罩層134的一部分。一些實施例在蝕刻製程時,亦可移除第二裝置區50B中的第三介電遮罩層140,以露出第二裝置區50B中的填充層138。在一些實施例中,源極/汲極遮罩結構覆蓋第二裝置區50B,並部分填入源極/汲極凹陷128B。
在一些實施例中,蝕刻製程採用濕蝕刻製程,其可採用pH值為約3至約6.8的酸性溶液,比如稀釋氯化氫溶液、稀釋氫氟酸溶液、另一合適溶液、及/或上述之組合。在一些實施例中,稀氫氟酸溶液的氫氟酸濃度為約0.1體積%至約0.3體積%。在一些實施例中,蝕刻製程採用蝕刻製程,其採用的鹼性溶液其pH值為約7.2至約11,比如稀氫氧化銨溶液。
圖2K-1及2K-2係一些實施例中,移除第一裝置區50A中的第一介電遮罩層134的一部分之後的半導體結構100的剖視圖。
如圖2K-1及2K-2所示的一些實施例,進行蝕刻製程以移除第一裝置區50A中的第一介電遮罩層134的部分,以露出鰭狀結構104A的源極/汲極區、內側間隔物132、閘極間隔物層122及120、與虛置閘極層116。在一些實施例中,亦可露出第一裝置區50A中的鰭狀物間隔物層120’及122’ 與隔離結構110。在一些實施例中,第二裝置區50B中的第一介電遮罩層134與第二介電遮罩層136的部分可一起作為源極/汲極遮罩結構。
在一些實施例中,蝕刻製程採用濕蝕刻製程,其採用pH值為約3至約6.8的酸性溶液,比如稀釋氯化氫溶液或稀釋氫氟酸溶液。在一些實施例中,稀釋氫氟酸溶液的氫氟酸濃度為約0.1體積%至約0.3體積%。在一些實施例中,蝕刻製程採用濕蝕刻製程,其採用pH值為約7.2至約11的鹼性溶液,比如稀釋氫氧化銨溶液。在一些實施例中,移除第二介電遮罩層136所用的蝕刻製程與移除第一介電遮罩層134所用的蝕刻製程為單一的連續蝕刻製程,因此可同時移除第二介電遮罩層136與第一介電遮罩層134。在一些實施例中,移除第二介電遮罩層136所用的蝕刻製程與移除第一介電遮罩層134所用的蝕刻製程為分開的蝕刻製程。
在一些實施例中,移除第一介電遮罩層134所用的蝕刻製程可包含主要蝕刻步驟,其自第一裝置區50A移除第一介電遮罩層134的主要部分。一旦開始自第一介電遮罩層134露出一些層狀物(如鰭狀結構104A、內側間隔物132、閘極間隔物層122及120、虛置閘極層116、隔離結構110、及/或鰭狀物間隔物層120’),則完成主要蝕刻步驟。主要蝕刻步驟的時間取決於蝕刻的時間模式或終點模式。
在一些實施例中,移除第一介電遮罩層134所用的蝕刻製程亦包括在主要蝕刻步驟之後的過蝕刻步驟,以自第一裝置區50A部分或完全移除第一介電遮罩層134的其餘部分。過蝕刻步驟的時間可為主要蝕刻步驟的時間的5%至約30%。為了避免移除第一介電遮罩層134所用的蝕刻製程時,額外損失閘極間隔物層122及120及/或內側間隔物132,過蝕刻步驟的時間可取決於第一介電遮罩層134與閘極間隔物層122及120 (或內側間隔物132)之間的蝕刻選擇性差異。閘極間隔物層122及120及/或內側間隔物132的低介電常數材料損失可能劣化最終半導體裝置的效能如速度。在一些實施例中,移除第一介電遮罩層134所用的蝕刻製程不包括過蝕刻製程,而第一介電遮罩層134的殘留物可能保留於上述的層狀物上。
舉例來說,當第一介電遮罩層134與閘極間隔物層122及120 (或內側間隔物132)之間的蝕刻選擇性差異較大時,可進行過蝕刻步驟直到完全移除殘留的第一介電遮罩層134。當第一介電遮罩層134與閘極間隔物層122及120 (或內側間隔物132)之間的蝕刻選擇性差異較小時,過蝕刻步驟之後的第一介電遮罩層134可少量殘留於鰭狀結構104A的源極/汲極區、內側間隔物132、及/或閘極間隔物層122及120上。
當第一介電遮罩層134少量殘留於鰭狀結構104A的源極/汲極區上時,不會負面影響後續磊晶成長的源極/汲極結構,因為第一介電遮罩層134的組成為含矽的介電材料如氧化矽。此外,殘留於閘極間隔物層122及120及/或內側間隔物132上的第一介電遮罩層134,不會明顯增加後續形成的源極/汲極結構與最終閘極堆疊之間的整體電容,因為第一介電遮罩層134具有較低的介電常數。
在不形成第一介電遮罩層134的一些例子中,當第二介電遮罩層136 (其組成為兩性金屬的氧化物如氧化鋁且具有較高介電常數)殘留於源極/汲極區上時,可能會負面影響之後形成的源極/汲極結構的磊晶成長。此外,即使第二介電遮罩層136少量殘留於閘極間隔物層122及120及/或內側間隔物132,仍可能明顯增加後續形成的源極/汲極結構與最終閘極堆疊之間的整體電容,進而劣化最終半導體裝置的效能如速度。然而在進行過蝕刻步驟以完全移除殘留的第二介電遮罩層136時,可能損失過多的閘極間隔物層122及120及/或內側間隔物132的低介電常數材料,其亦劣化最終半導體裝置的效能如速度。
本發明實施例採用低介電常數的第一介電遮罩層134作為源極/汲極遮罩結構的底層,因此可實質上完全移除高介電常數的第二介電遮罩層136。此外,低介電常數的第一介電遮罩層134可提供低介電常數的間隔物損失與源極/汲極遮罩結構的殘留量之間的良好平衡,進而加大圖案化源極/汲極遮罩結構的製程容許範圍。
圖2L-1及2L-2係一些實施例中,移除第二裝置區50B中的填充層138的一部分之後的半導體結構100的剖視圖。
如圖2L-1及2L-2所示的一些實施例,自基板102的第二裝置區50B移除填充層138,直到露出第二介電遮罩層136。在一些實施例中,移除製程可為非等向蝕刻製程(如乾式電漿蝕刻)、等向蝕刻製程(如乾式化學蝕刻、遠端電漿蝕刻、或濕式化學蝕刻)、灰化製程、及/或上述之組合。
圖2M-1及2M-2係一些實施例中,形成源極/汲極結構146A之後的半導體結構100的剖視圖。
如圖2M-1及2M-2所示的一些實施例,採用磊晶成長製程形成源極/汲極結構146A於半導體結構100的第一裝置區50A的源極/汲極凹陷128A中。磊晶成長製程可為分子束磊晶、有機金屬化學氣相沉積、氣相磊晶、另一合適技術、或上述之組合。在磊晶成長製程之前,可進行預清潔製程。舉例來說,可採用稀釋氫氧化銨溶液的濕式清潔及/或矽鈷鎳清潔製程。在一些實施例中,源極/汲極結構146A成長於鰭狀結構104A的源極/汲極區上。在一些實施例中,源極/汲極結構146A鄰接鰭狀結構104A的第二半導體層108與內側間隔物層132。
在一些實施例中,鰭狀結構104A用於形成p型通道的奈米結構電晶體,而源極/汲極結構146A的組成為半導體材料如矽鍺、矽、砷化鎵、另一合適的半導體材料、或上述之組合。在一些實施例中,在磊晶成長製程時,源極/汲極結構146A摻雜p型摻質。舉例來說,p型摻質可為硼或二氟化硼。舉例來說,源極/汲極結構146A可為磊晶成長的矽鍺並摻雜硼,以形成摻雜硼的矽鍺源極/汲極結構。
在一些實施例中,源極/汲極遮罩結構的第二介電遮罩層136在磊晶成長源極/汲極結構146A時,足以避免磊晶半導體材料成長於第二介電遮罩層136的介電表面上。如此一來,後續製程可輕易移除源極/汲極遮罩結構。
在一些實施例中,源極/汲極結構146A的成長一開始受限於鰭狀物間隔物層120’及122’,因此源極/汲極結構146A具有狹窄的底部。一旦成長源極/汲極結構146A以自鰭狀物間隔物層120’及122’凸起,源極/汲極結構146A可成長為具有特定結晶方向的晶面表面,使源極/汲極結構146A具有較寬的上側部分。雖然圖式中的源極/汲極結構146A具有晶面平面,但一些其他實施例中的源極/汲極結構146A可具有弧形表面。
圖2N-1及2N-2係一些實施例中,移除第二裝置區50B中的第二介電遮罩層136與第一介電遮罩層134的部分之後的半導體結構100的剖視圖。
如圖2N-1及2N-2所示的一些實施例,自基板102的第二裝置區50B移除第二介電遮罩層136與第一介電遮罩層134,以露出鰭狀結構104B的源極/汲極區、內側間隔物132、閘極間隔物層122及120、與虛置閘極層116。在一些實施例中,亦露出第二裝置區50B中的隔離結構110與鰭狀物間隔物層120’與122’。
在一些實施例中,第二介電遮罩層136與第一介電遮罩層134的移除製程可為蝕刻製程,其採用含硫酸與過氧化氫的Caros溶液。在一些實施例中,移除第二介電遮罩層136的方法可為蝕刻製程,其可與圖2J-1及2J-2相關的上述蝕刻製程相同或類似。在一些實施例中,第一介電遮罩層134的移除製程可為蝕刻製程,其可與圖2K-1及2K-2所示的上述蝕刻製程類似或相同。在蝕刻製程時,由於第一介電遮罩層134與第二介電遮罩層136的蝕刻選擇性與源極/汲極結構146A的蝕刻選擇性之間的差異大,源極/汲極結構146A的磊晶半導體材料損失少。
類似地,當第一介電遮罩層134少量殘留於鰭狀結構104B的源極/汲極區上時,不會負面影響後續磊晶成長源極/汲極結構。此外,殘留於閘極間隔物層120及122及/或內側間隔物132上的第一介電遮罩層134,不會明顯增加後續形成的源極/汲極結構與最終閘極堆疊之間的整體電容。
如圖2O-1至2P-2所示,形成第一裝置區50A所用的源極/汲極遮罩結構。第一裝置區50A所用的源極/汲極遮罩結構的形成方法,可與圖2G-1至2L-1所示的第二裝置區50B所用的源極/汲極遮罩結構的形成方法類似。圖2O-1及2O-2係一些實施例中,形成三層遮罩結構之後的半導體結構100的剖視圖。
如圖2O-1及2O-2所示的一些實施例,依序形成第四介電遮罩層148、第五介電遮罩層150、填充層152、與三層遮罩結構於半導體結構100上。在一些實施例中,三層遮罩結構包括第六介電遮罩層154作為底層、底抗反射塗層156作為中間層、以及頂部光阻遮罩158。在一些實施例中,頂部光阻遮罩158覆蓋基板102的第一裝置區50A而不覆蓋第二裝置區50B。
第四介電遮罩層148與第五介電遮罩層150的材料與形成方法,可與圖2G-1及2G-2所示的上述第一介電遮罩層134與第二介電遮罩層136的材料與形成方法類似或相同。填充層152、第六介電遮罩層154、底抗反射塗層156、與頂部光阻遮罩158的材料與形成方法,可與圖2H-1及2H-2的上述填充層138、第三介電遮罩層140、底抗反射塗層142、與頂部光阻遮罩144的材料與形成方法類似或相同。
圖2P-1及2P-2係一些實施例中,形成第一裝置區50A所用的源極/汲極遮罩結構之後的半導體結構100的剖視圖。
如圖2P-1及2P-2所示的一些實施例,可採用頂部光阻遮罩158進行多個蝕刻製程於半導體結構100上,以自第二裝置區50B移除底抗反射塗層156、第六介電遮罩層154、填充層152、第五介電遮罩層150、與第四介電遮罩層148,並露出鰭狀結構104B的源極/汲極區、內側間隔物132、閘極間隔物層122及120、與虛置閘極層116。在一些實施例中,亦露出第二裝置區50B中的隔離結構110與鰭狀物間隔物層120’及122’。
移除底抗反射塗層156、第六介電遮罩層154、與填充層152所用的蝕刻製程,可與圖2I-1及2I-2所示的上述蝕刻製程類似或相同。移除第五介電遮罩層150所用的蝕刻製程,可與圖2J-1及2J-2所示的上述蝕刻製程類似或相同。移除第四介電遮罩層148所用的蝕刻製程,可與圖2K-1及2K-2所示的上述蝕刻製程類似或相同。
在一些實施例中,之後自第一裝置區50B移除填充層152。移除填充層152所用的蝕刻製程,可與圖2L-1及2L-2所示的上述蝕刻製程類似或相同。
類似地,移除第四介電遮罩層148所用的蝕刻製程之後,若第四介電遮罩層148少量殘留於鰭狀結構104B的源極/汲極區上,不會負面影響後續磊晶成長源極/汲極結構,因為第四介電遮罩層148的組成為含矽介電材料(如氧化矽)。此外,第四介電遮罩層148殘留於閘極間隔物層122及120及/或內側間隔物132上,不會明顯增加後續形成的源極/汲極結構與最終閘極堆疊之間的整體電容,因為第四介電遮罩層148具有較低的介電常數。
本發明實施例採用低介電常數的第四介電遮罩層148作為源極/汲極結構的底層,因此可實質上完全移除高介電常數的第五介電遮罩層150。此外,低介電常數的第四介電遮罩層148可提供低介電常數的間隔物損失與源極/汲極遮罩結構的殘留之間的良好平衡,進而加大圖案化源極/汲極遮罩結構的製程容許範圍。
圖2Q-1及2Q-2係一些實施例中,形成源極/汲極結構146B之後的半導體結構100的剖視圖。
如圖2Q-1及2Q-2所示的一些實施例,採用磊晶成長製程形成源極/汲極結構146B於半導體結構100的第二裝置區50B的源極/汲極凹陷128B中。磊晶成長製程可為分子束磊晶、有機金屬化學氣相沉積、氣相磊晶、另一合適技術、或上述之組合。可在磊晶成長製程之前進行預清潔製程。舉例來說,可採用稀釋氫氧化銨溶液的濕式清潔及/或矽鈷鎳清潔製程。在一些實施例中,源極/汲極結構146B成長於鰭狀結構104B的源極/汲極區上。在一些實施例中,源極/汲極結構146B鄰接鰭狀結構104B的第二半導體層108與內側間隔物層132。
在一些實施例中,鰭狀結構104B用於形成n型通道的奈米結構電晶體,而源極/汲極結構146B的組成為半導體材料如磷化矽、砷化矽、碳磷化矽、碳化矽、矽、砷化鎵、另一合適的半導體材料、或上述之組合。在一些實施例中,源極/汲極結構146B可在磊晶成長製程時摻雜n型摻質。舉例來說,n型摻質可為磷或砷。舉例來說,源極/汲極結構146B可為磊晶成長的矽且摻雜磷以形成摻雜磷的矽源極/汲極結構,及/或摻雜砷以形成摻雜砷的矽源極/汲極結構。
在一些實施例中,源極/汲極遮罩結構的第五介電遮罩層150在源極/汲極結構146B的磊晶成長製程時,足以避免磊晶的半導體材料成長於第五介電遮罩層150的介電表面上。如此一來,後續製程可輕易移除源極/汲極遮罩結構。源極/汲極結構146B的狹窄底部可侷限於鰭狀物間隔物層120’及122’之間,而較寬的上側部分具有晶面表面。雖然圖式中的源極/汲極結構146B具有晶面表面,一些其他實施例中的源極/汲極結構146B可具有弧形表面。
圖2R-1及2R-2係一些實施例中,移除第一裝置區50A中的第五介電遮罩層150與第四介電遮罩層148的部分之後的半導體結構100的剖視圖。
如圖2R-1及2R-2所示的一些實施例,自基板102的第一裝置區50A移除第五介電遮罩層150與第四介電遮罩層148,以露出源極/汲極結構146A、閘極間隔物層122及120、與虛置閘極層116。在一些實施例中,亦露出隔離結構110與第一裝置區50A中的鰭狀物間隔物層120’及122’。移除製程可與圖2N-1及2N-2的上述移除製程類似或相同。類似地,當第四介電遮罩層148少量殘留於閘極間隔物層122及120上,源極/汲極結構146A與後續形成的最終閘極堆疊之間的整體電容不會明顯增加。
圖2S-1及2S-2係一些實施例中,形成接點蝕刻停止層160與層間介電層162之後的半導體結構100的剖視圖。
如圖2S-1及2S-2所示的一些實施例,接點蝕刻停止層160形成於半導體結構100上。在一些實施例中,接點蝕刻停止層160覆蓋並沿著源極/汲極結構146A及146B的較寬上側部分的表面、閘極間隔物層122的側壁、鰭狀物間隔物層122’的上側部分的側壁、鰭狀物間隔物層120’的上側部分、與隔離結構110的上側表面延伸。如圖2S-1及2S-2所示的一些實施例中,之後形成層間介電層162於接點蝕刻停止層160上。
在一些實施例中,接點蝕刻停止層160的組成為含矽介電材料,比如氧化矽、氮化矽、碳氧化矽、碳化矽、摻雜氧的碳化矽、摻雜氧的碳氮化矽、或上述之組合。在一些實施例中,可全面地順應性沉積接點蝕刻停止層160所用的介電材料於半導體結構100上,且沉積方法可採用化學氣相沉積(如低壓化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、高深寬比製程、或可流動的化學氣相沉積)、原子層沉積、另一合適方法、或上述之組合。
在一些實施例中,層間介電層162的組成為介電材料如未摻雜的矽酸鹽玻璃、摻雜的氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃)、及/或另一合適的介電材料。在一些實施例中,層間介電層162的材料可與接點蝕刻停止層160不同。在一些實施例中,層間介電層162所用的介電材料的沉積方法可採用化學氣相沉積(如高密度電漿化學氣相沉積、電漿輔助化學氣相沉積、高深寬比製程、或可流動的化學氣相沉積)、另一合適技術、及/或上述之組合。之後採用化學機械研磨等方法移除高於虛置閘極層116的上表面的接點蝕刻停止層160與層間介電層162的介電材料,直到露出虛置閘極結構112的上表面。在一些實施例中,層間介電層162的上表面與虛置閘極層116的上表面實質上共平面。
圖2T-1及2T-2係一些實施例中,形成閘極溝槽164與間隙166之後的半導體結構100的剖視圖。
如圖2T-1所示的一些實施例,採用蝕刻製程移除虛置閘極結構112以形成閘極溝槽164。在一些實施例中,閘極溝槽164露出鰭狀結構104A及104B的通道區CH。在一些實施例中,閘極溝槽164亦露出閘極間隔物層120面對通道區的內側側壁。
在一些實施例中,蝕刻製程包括一或多道蝕刻製程。舉例來說,當虛置閘極層116的組成為多晶矽時,可採用濕蝕刻劑如氫氧化四甲基銨以選擇性移除虛置閘極層116。舉例來說,之後可採用電漿乾蝕刻、乾式化學蝕刻、及/或濕蝕刻移除虛置閘極介電層114。
如圖2T-1所示的一些實施例,採用蝕刻製程移除鰭狀結構104A及104B的第一半導體層106以形成間隙166。內側間隔物層132可作為蝕刻製程中的蝕刻停止層,其可保護源極/汲極結構146A及146B免於損傷。在一些實施例中,間隙166形成於鰭狀結構104A與鰭狀結構104B其相鄰的第二半導體層108之間,以及最下側的第二半導體層108與下側鰭狀物單元104L之間。在一些實施例中,間隙166亦露出內側間隔物層132面對通道區的內側側壁。
一些實施例在蝕刻製程之後,露出第二半導體層108的四個主要表面(圖2T-1僅顯示上表面與下表面)。在一些實施例中,露出的第二半導體層108可形成奈米結構,其作為最終半導體裝置(如奈米結構電晶體)的通道區。
在一些實施例中,蝕刻製程包括選擇性濕蝕刻製程如採用氫氧化銨、過氧化氫、與水的混合物的蝕刻製程。在一些實施例中,濕蝕刻製程採用蝕刻劑如氫氧化銨、氫氧化四甲基銨、乙二胺鄰苯二酚、及/或氫氧化鉀溶液。在一些實施例中,內側間隔物層132作為蝕刻製程中的蝕刻停止層。
圖2U-1及2U-2係一些實施例中,形成最終閘極堆疊168之後的半導體結構100的剖視圖。
如圖2U-1所示的一些實施例,閘極介電層170形成於奈米結構如第二半導體層108的露出表面上,並包覆奈米結構如第二半導體層108的露出表面。在一些實施例中,閘極介電層170亦沿著並覆蓋閘極間隔物層120面對通道區的內側側壁。在一些實施例中,閘極介電層170亦沿著並覆蓋內側間隔物層132面對通道區的內側側壁。
閘極介電層170可包含界面層與形成於界面層上的高介電常數的介電層。界面層的組成可為化學形成的氧化矽,其形成方法可為一或多道清潔製程如含臭氧、氫氧化銨與過氧化氫與水的混合物、及/或氯化氫與過氧化氫與水的混合物的清潔製程。界面層的形成方法可為氧化奈米結構如第二半導體層108的外側部分,且可形成於奈米結構如第二半導體層108其露出的主要表面上,而不形成於介電層(如閘極間隔物與內側間隔物)的表面上。在一些實施例中,高介電常數的介電層之組成為具有高介電常數(比如大於3.9)的介電材料。在一些實施例中,高介電常數的介電層包括氧化鉿、氧化鈦、氧化鉿鋯、三氧化二鉭、氧化鉿矽、氧化鋯、氧化鋯矽、氧化鑭、氧化鋁、氧化鋯、氧化鈦、五氧化二鉭、氧化釔、鈦酸鍶、鈦酸鋇、氧化鋇鋯、氧化鉿鋯、氧化鉿鑭、氧化鉿矽、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、鈦酸鋇鍶、氮化矽、氮氧化矽、上述之組合、或另一合適材料。高介電常數的介電層的沉積方法可採用原子層沉積、物理氣相沉積、化學氣相沉積、及/或另一合適技術。
如圖2U-1所示的一些實施例,金屬閘極層172形成於閘極介電層170上並填入閘極溝槽164與間隙的其餘部分。在一些實施例中,金屬閘極層172包覆奈米結構如第二半導體層108。在一些實施例中,金屬閘極層172的組成為多種導電材料如金屬、金屬合金、導電金屬氧化物及/或氮化物、另一合適導電材料、及/或上述之組合。舉例來說,金屬閘極層172的組成可為鈦、銀、呂、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、銅、鎢、錸、銥、鈷、鎳、另一合適的導電材料、或上述之多層。
金屬閘極層172可為擴散阻障層、具有選定功函數以增進n型通道場效電晶體或p型通道場效電晶體所用的裝置效能(如臨界電壓)的功函數層、避免氧化功函數層的蓋層、使功函數層黏著至下一層的黏著層、降低閘極堆疊的總店組的金屬填充層、及/或另一合適層狀物的多種組合的多層結構。金屬閘極層172的形成方法可採用原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍、或另一合適製程。可分開形成n型通道奈米結構電晶體(如第二裝置區50B中的電晶體)與p型奈米結構電晶體(如第一裝置區50A中的電晶體)所用的金屬閘極層172,使其可採用不同的功函數材料。
在一些實施例中,可進行平坦化製程如化學機械研磨於半導體結構100上,以移除高於層間介電層162的上表面之閘極介電層170與金屬閘極層172的材料。一些實施例在平坦化製程之後,金屬閘極層172的上表面與層間介電層162的上表面實質上共平面。
在一些實施例中,閘極介電層170與金屬閘極層172可結合成最終閘極堆疊168。在一些實施例中,最終閘極堆疊168延伸於Y方向中。因此在一些實施例中,最終閘極堆疊168的縱軸平行於Y方向。在一些實施例中,最終閘極堆疊168包覆每一奈米結構如第二半導體層108,並插置於源極/汲極結構146A之間以及源極/汲極結構146B之間。
一些實施例在第一裝置區50A中,最終閘極堆疊168與源極/汲極結構146A結合以形成奈米結構電晶體如p型通道奈米結構電晶體。一些實施例在第二裝置區50B中,最終閘極堆疊168與源極/汲極結構146B結合以形成奈米結構電晶體如n型通道奈米結構電晶體。最終閘極堆疊147可接合奈米結構如第二半導體層108的通道區,使操作時的電流可流動於源極/汲極結構146A之間以及源極/汲極結構146B之間。
應理解的是,半導體結構100可進行後續的互補式金氧半製程以形成多種結構(如多層內連線結構,比如連接至閘極及/或源極/汲極結構的接點、通孔、線路、金屬間介電層、鈍化層、或類似物)於半導體結構100上。
本發明實施例採用雙層的源極/汲極遮罩結構,其包括含矽介電遮罩層(如第一介電遮罩層134與第四介電遮罩層148),以及高介電常數的介電遮罩層(如第二介電遮罩層136與第五介電遮罩層150)位於含矽介電遮罩層上。可提供低介電常數的間隔物損失與源極/汲極遮罩結構殘留量之間的良好平衡,進而加大圖案化源極/汲極遮罩結構的製程容許範圍。如此一來,可改善最終半導體裝置的良率與效能。
圖3A至3G係一些實施例中,多種中間階段的半導體結構對應圖1所示的剖面X-X的剖視圖。圖3A至3G的實施例與圖2A-1至圖2U-2所示的實施例類似,差別在於第一介電遮罩層134保留於內側間隔物132中。
圖3A係一些實施例中,進行圖2A-1至2F-2的上述步驟之後的半導體結構200的剖視圖。
在一些實施例中,形成鰭狀結構104A及104B於基板102上,並形成隔離結構110 (圖2A-2)以圍繞鰭狀結構104A及104B的下側鰭狀物單元104L。在一些實施例中,形成虛置閘極結構112以圍繞鰭狀結構104A及104B的通道區,並依序形成閘極間隔物層120及122於半導體結構200上。一些實施例進行一或多道蝕刻製程,以移除閘極間隔物層120及122的水平部分,並使鰭狀結構104A及104B的源極/汲極區凹陷以形成源極/汲極凹陷128A於第一裝置區50A中,並形成源極/汲極凹陷128B於第二裝置區50B中。一些實施例在半導體結構200上進行蝕刻製程,以自源極/汲極凹陷128A及128B使鰭狀結構104A及104B的第一半導體層106橫向凹陷,而形成缺口130以鄰接鰭狀結構104A及104B的第一半導體層106。如圖3A所示的一些實施例,由於順應性沉積內側間隔物層132,因此形成縫隙202於內側間隔物層132中。
圖3B係一些實施例中,進行圖2G-1至2H-2的上述步驟之後的半導體結構200的剖視圖。
在一些實施例中,第一介電遮罩層134、第二介電遮罩層136、填充層138、與含有第三介電遮罩層140、底抗反射塗層142、與頂部光阻遮罩144的三層遮罩結構形成於半導體結構200上。在一些實施例中,形成第一介電遮罩層134、第二介電遮罩層136、填充層138、與含有第三介電遮罩層140、底抗反射塗層142、與頂部光阻遮罩144的三層遮罩結構於半導體結構200上。如圖3B所示的一些實施例,形成第一介電遮罩層134以填入縫隙202。
圖3C係一些實施例中,進行圖2I-1至2L-2所示的上述步驟之後的半導體結構200的剖視圖。
在一些實施例中,採用頂部光阻遮罩144進行一或多道蝕刻製程,以移除基板102的第一裝置區50A中的底抗反射塗層142、第三介電遮罩層140、填充層138、第二介電遮罩層136、與第一介電遮罩層134的部分,進而露出鰭狀結構104A的源極/汲極區。一些實施例在移除第一介電遮罩層134的蝕刻製程(圖2K-1及2K-2)之後,填入縫隙202的第一介電遮罩層134的部分保留於內側間隔物132中,並標示為保留部分134’。第一介電遮罩層134的保留部分134’可作為內側間隔物的一部分。
圖3D係一些實施例中,進行圖2M-1至2M-2的上述步驟之後的半導體結構200的剖視圖。
在一些實施例中,採用磊晶成長製程形成源極/汲極結構146A於半導體結構200的第一裝置區50A的源極/汲極凹陷128A中。
圖3E係一些實施例中,進行圖2N-1至2P-2的上述步驟之後的半導體結構200的剖視圖。
在一些實施例中,移除第二裝置區50B所用的遮罩結構。一些實施例在移除第一介電遮罩層134的蝕刻製程(圖2N-1及2N-2)之後,填入縫隙202的第一介電遮罩層134的部分保留於內側間隔物132中,並標示為保留部分134’。第一介電遮罩層134的保留部分134’可作為內側間隔物的一部分。
在一些實施例中,第四介電遮罩層148、第五介電遮罩層150、填充層152 (圖2O-1)、與含有第六介電遮罩層154、底抗反射塗層156、與頂部光阻遮罩158的三層遮罩結構(圖2O-1)形成於半導體結構200上。在一些實施例中,採用頂部光阻遮罩158進行一或多道蝕刻製程,以移除基板102的第二裝置區50B中的底抗反射塗層156、第六介電遮罩層154、填充層152、第五介電遮罩層150、與第四介電遮罩層148,進而露出鰭狀結構104B的源極/汲極區。
圖3F係一些實施例中,進行圖2Q-1至2R-2的上述步驟之後的半導體結構200的剖視圖。
在一些實施例中,採用磊晶成長製程形成源極/汲極結構146B於半導體結構200的第二裝置區50B的源極/汲極凹陷128B中。在一些實施例中,移除第一裝置區50A所用的遮罩結構。
圖3G係一些實施例中,進行圖2S-1至2U-2的上述步驟之後的半導體結構200的剖視圖。
在一些實施例中,依序形成接點蝕刻停止層160與層間介電層162於半導體結構200上。在一些實施例中,採用蝕刻製程移除虛置閘極結構112以形成閘極溝槽164 (圖2T-1)。在一些實施例中,採用蝕刻製程移除鰭狀結構104A及104B的第一半導體層106,以形成間隙166 (圖2T-1)。在一些實施例中,形成最終閘極堆疊168以包覆每一奈米結構(如鰭狀結構104A的第二半導體層108)。
第一介電遮罩層134的保留部分134’保留於內側間隔物132中,不會負面影響源極/汲極結構的磊晶成長。此外,不會明顯增加源極/汲極結構與最終閘極堆疊之間的整體電容。此外,由於第一介電遮罩層134的保留部分134’填入縫隙202,內側間隔物層132 (與保留部分134’)可更堅固以抵抗形成間隙166 (圖2U-1)所用的蝕刻製程。如此一來,可改善最終半導體裝置的良率與效能。
圖4係一些實施例中,半導體結構400的剖視圖。圖4所示的半導體結構400與圖2U-1所示的半導體結構100類似,差別在於第一介電遮罩層134保留於閘極間隔物層122上。
一些實施例在自第一裝置區50A移除第一介電遮罩層134的蝕刻製程(圖2K-1及2K-2)之後,第一介電遮罩層134的一部分保留於第一裝置區50A中的閘極間隔物層122上。一些實施例在自第二裝置區50B移除第一介電遮罩層134的蝕刻製程(圖2N-1及2N-2)之後,可保留第一介電遮罩層134的一部分於第二裝置區50B中的閘極間隔物層122上。第一介電遮罩層134的保留部分可作為閘極間隔物層的一部分,且可標示為保留部分134”。第一介電遮罩層134保留於閘極間隔物層122上的保留部分134”不會負面影響源極/汲極結構的磊晶成長。此外,源極/汲極結構與最終閘極堆疊之間的整體電容不會明顯增加。
圖5A-1及5A-2係一些實施例中,形成半導體結構的多種中間階段的剖視圖,其中圖式末尾為「-1」者(如圖5A-1)為對應圖1所示的剖面X-X的剖視圖,而圖式末尾為「-2」者(如圖5A-2)為對應圖1所示的剖面Y-Y的剖視圖。圖5A-1及5A-2的實施例與圖2A-1至2U-2所示的實施例類似,差別在於全繞式閘極場效電晶體形成於第一裝置區50A中,而鰭狀場效電晶體裝置形成於第二裝置區50B中。
圖5A-1及5A-2係一些實施例中,形成鰭狀結構104A、鰭狀結構404B、與隔離結構110之後的半導體結構400的剖視圖。
如圖5A-1及5A-2所示的一些實施例,基板102包括鰭狀結構104A形成其中的第一裝置區50A,與鰭狀結構404B形成其中的第二裝置區50B。在一些實施例中,第一裝置區50A為p型裝置區,且可用於形成p型半導體裝置(如p型通道奈米結構電晶體)。在一些實施例中,第二裝置區50B為n型裝置區,且可用於形成n型半導體裝置(如n型通道鰭狀場效電晶體)。
在一些實施例中,形成鰭狀結構104A及404B的方法包括採用蝕刻製程使第一裝置區50A的基板102之上側部分凹陷,以形成空間於第一裝置區50A中。接著採用磊晶成長製程以形成磊晶堆疊於基板102上。蝕刻製程可為非等向蝕刻製程如桿式電漿蝕刻、等向蝕刻製程如乾式化學蝕刻、遠端電漿蝕刻或濕式化學蝕刻、及/或上述之組合。磊晶堆疊的材料、組成、與形成方法可與圖2A-1及2A-2所示的上述磊晶堆疊類似或相同。在一些實施例中,可進行平坦化製程如化學機械研磨或回蝕刻製程,以移除基板102上的磊晶堆疊的一部分,直到露出基板102的上表面。一些實施例在平坦化製程之後,磊晶堆疊的上表面與基板102的上表面可實質上共平面。在一些實施例中,之後可圖案化第一裝置區50A中的磊晶堆疊與第二裝置區50B中的基板102的上側部分,以形成第一裝置區50A中的鰭狀結構104A與第二裝置區50B中的鰭狀結構404B。如此一來,一些實施例的鰭狀結構404B與基板102的上側部分可由單一的連續半導體材料所形成。
如圖5A-2所示的一些實施例,形成隔離結構110以圍繞鰭狀結構104A的下側鰭狀物單元104L與鰭狀結構404B的下側鰭狀物單元404L。在一些實施例中,鰭狀結構404B包括自隔離結構110之間凸起的上側鰭狀物單元。隔離結構110的材料與形成方法,可與圖2A-1及2A-2所示的上述隔離結構110類似或相同。
圖5B-1及5B-2係一些實施例中,進行圖2B-1至2F-2的上述步驟之後的半導體結構400的剖視圖。
在一些實施例中,形成虛置閘極結構112以圍繞鰭狀結構104A的通道區與鰭狀結構404B的通道區,並依序形成閘極間隔物層120及122於半導體結構400上。在一些實施例中,進行一或多個蝕刻製程以移除閘極間隔物層120及122的水平部分,並使鰭狀結構104A的源極/汲極區與鰭狀結構404B的源極/汲極區凹陷,以形成源極/汲極凹陷128A於第一裝置區50A中,並形成源極/汲極凹陷128B於第二裝置區50B中。一些實施例在半導體結構400上進行蝕刻製程,以自源極/汲極凹陷128A使鰭狀結構104A的第一半導體層106凹陷而形成缺口130 (圖2E-1),並形成內側間隔物層132於缺口130中以鄰接鰭狀結構104的第一半導體層106。
圖5C-1及5C-2係一些實施例中,進行圖2G-1至2M-2的上述步驟之後的半導體結構400的剖視圖。
在一些實施例中,含有第一介電遮罩層134與第二介電遮罩層136的遮罩結構覆蓋第二裝置區50B。在一些實施例中,採用磊晶成長製程形成源極/汲極結構146A於半導體結構400的第一裝置區50A的源極/汲極凹陷128A中。
圖5D-1及5D-2係一些實施例中,進行圖2N-1至2Q-2的上述步驟之後的半導體結構400的剖視圖。
在一些實施例中,移除第二裝置區50B所用的遮罩結構。在一些實施例中,形成含有第四介電遮罩層148與第五介電遮罩層150的遮罩結構,以覆蓋第一裝置區50A。一些實施例採用磊晶成長製程,以形成源極/汲極結構146B於半導體結構400的第二裝置區50B的源極/汲極凹陷128B中。
圖5E-1及5E-2係一些實施例中,進行圖2R-1至2U-2所示的上述步驟之後的半導體結構400的剖視圖。
在一些實施例中,移除第一裝置區50A所用的遮罩結構。在一些實施例中,依序形成接點蝕刻停止層160與層間介電層162於半導體結構400上。在一些實施例中,採用蝕刻製程移除虛置閘極結構112,以形成閘極溝槽164 (圖2T-1)。在一些實施例中,採用蝕刻製程移除鰭狀結構104A的第一半導體層106,以形成間隙166 (圖2T-1)。在一些實施例中,最終閘極堆疊168包覆每一奈米結構(如鰭狀結構104A的第二半導體層108)與鰭狀結構404B的通道區,以形成p型通道的全繞式閘極場效電晶體於第一裝置區50A中,並形成n型通道的鰭狀場效電晶體於第二裝置區50B中。
實施例提供的混合結構含有全繞式閘極場效電晶體與鰭狀場效電晶體形成於相同的半導體基板(或晶圓)上。含有混合結構的積體電路可具有較佳電性效能與較大的設計彈性。圖5A-2至5E-2顯示p型通道全繞式閘極場效電晶體與n型通道鰭狀場效電晶體的實施例,但不限於此。本發明實施例用於形成源極/汲極遮罩結構,其亦可實施於p型通道鰭狀場效電晶體與n型通道全繞式閘極場效電晶體,及/或p型通道鰭狀場效電晶體與n型通道鰭狀場效電晶體的實施例。
如上所述,本發明實施例關於分開形成不同裝置區中的源極/汲極結構。實施例的方法包括形成含矽介電遮罩層(如第一介電遮罩層134或第四介電遮罩層148)與高介電常數的介電遮罩層(如第二介電遮罩層136或第五介電遮罩層150)於含矽介電遮罩層上,圖案化介電遮罩層成源極/汲極遮罩結構以覆蓋一裝置區並露出另一裝置區,接著成長源極/汲極結構於露出的裝置區中。因此本發明實施例採用含矽介電遮罩層作為源極/汲極遮罩結構的底層,其可提供低介電常數的間隔物(如閘極間隔物層120及122及/或內側間隔物132)的損失以及源極/汲極遮罩結構的殘留量之間的良好平衡。因此可加大圖案化源極/汲極遮罩結構的製程容許範圍。
實施例可提供半導體結構的形成方法。方法可包含形成第一鰭狀結構與第二鰭狀結構,並形成第一遮罩結構以覆蓋第二鰭狀結構。第一遮罩結構包括第一介電遮罩層與其上的第二介電遮罩層,而第一介電遮罩層與第二介電遮罩層的材料不同。方法亦包括形成第一源極/汲極結構於第一鰭狀結構中,並移除第一遮罩結構以露出第二鰭狀結構。因此可提供低介電常數的間隔物損失以及源極/汲極遮罩結構的殘留量之間的良好平衡,進而加大圖案化源極/汲極遮罩結構的製程容許範圍。
在一些實施例中,提供半導體結構的形成方法。方法包括形成第一鰭狀結構與第二鰭狀結構於基板上,且第一鰭狀結構與第二鰭狀結構的每一者包括交錯堆疊的多個第一半導體層與多個第二半導體層。方法亦包括形成第一遮罩結構以覆蓋第二鰭狀結構。第一遮罩結構包括第一介電層與第二介電層位於第一介電層上,且第一介電層與第二介電層的材料不同。方法亦包括形成第一源極/汲極結構於第一鰭狀結構中;移除第一遮罩結構;以及形成第二源極/汲極結構於第二鰭狀結構中。方法亦包括移除第一鰭狀結構與第二鰭狀結構的每一者的第一半導體層,以自第一鰭狀結構的第二半導體層形成多個第一奈米結構,並自第二鰭狀結構的第二半導體層形成多個第二奈米結構;以及形成閘極堆疊於第一奈米結構與第二奈米結構周圍。
在一些實施例中,形成第一遮罩結構的步驟包括:依序形成第一介電層與第二介電層於第一鰭狀結構與第二鰭狀結構上;以及蝕刻第一鰭狀結構上的第一介電層與第二介電層的第一部分以露出第一鰭狀結構,並保留第一介電層與第二介電層的第二部分於第二鰭狀結構上以形成第一遮罩結構。
在一些實施例中,上述方法更包括:蝕刻第一鰭狀結構與第二鰭狀結構以分別形成第一源極/汲極凹陷與第二源極/汲極凹陷,其中第一介電層與第二介電層部分填入第一源極/汲極凹陷與第二源極/汲極凹陷。
在一些實施例中,上述方法更包括:將填充層超填第一源極/汲極凹陷與第二源極/汲極凹陷;形成介電遮罩於填充層上並覆蓋第二鰭狀結構;採用介電遮罩並蝕刻填充層,直到露出第一鰭狀結構上的第二介電層的第一部分;以及在蝕刻第一鰭狀結構上的第一介電層與第二介電層的第一部分時,蝕刻介電遮罩。
在一些實施例中,第一介電層的組成為氧化矽,而第二介電層的組成為兩性金屬的氧化物。
在一些實施例中,第一源極/汲極結構與第二源極/汲極結構具有不同導電型態。
在一些實施例中,上述方法更包括:在形成第二源極/汲極結構於第二鰭狀結構中之前,形成第二遮罩結構以覆蓋第一源極/汲極結構,其中第二遮罩結構包括第三介電層與第四介電層位於第三介電層上,且第三介電層與第四介電層的組成為不同材料;以及在形成第二源極/汲極結構於第二鰭狀結構中之後,移除第二遮罩結構以露出第一源極/汲極結構。
在一些實施例中,提供半導體結構的形成方法。方法包括交錯堆疊第一半導體層與第二半導體層於基板上;以及圖案化第一半導體層與第二半導體層成第一鰭狀結構於基板的第一區中,以及第二鰭狀結構於基板的第二區中。方法亦包括蝕刻第一鰭狀結構以形成第一凹陷,並蝕刻第二鰭狀結構以形成第二凹陷;以及形成第一遮罩結構以覆蓋第二凹陷並露出第一凹陷。第一遮罩結構包括第一介電層與第二介電層位於第一介電層上,且第一介電層的介電常數低於第二介電層的介電常數。方法亦包括形成第一源極/汲極結構於第一凹陷中;以及移除第一遮罩結構。方法亦包括形成第二遮罩結構以覆蓋第一源極/汲極結構並露出第二凹陷;形成第二源極/汲極結構於第二凹陷中;以及移除第二遮罩結構。
在一些實施例中,上述方法更包括:形成第一介電層以覆蓋基板的第一區與第二區;形成第二介電層於第一介電層上;蝕刻第一區中的第二介電層的一部分;以及蝕刻第一區中的第一介電層的一部分。
在一些實施例中,蝕刻第二介電層的部分之步驟包括濕蝕刻製程,其採用的鹼性溶液的pH值為約7.2至約11。
在一些實施例中,蝕刻第一介電層的部分之步驟包括濕蝕刻製程,其採用的酸性溶液的pH值為約3至約6.8。
在一些實施例中,上述方法更包括:自第二凹陷使第二鰭狀結構的第一半導體層橫向凹陷,以形成多個缺口;形成多個內側間隔物於缺口中,其中內側間隔物與第二介電層隔有第一介電層。
在一些實施例中,內側間隔物具有面對第二凹陷的側壁,且移除第一遮罩結構之後的第一介電層的保留部分保留於內側間隔物的側壁上。
在一些實施例中,第二遮罩結構包括第三介電層與第四介電層位於第三介電層上,且第三介電層的介電常數小於第四介電層的介電常數。
在一些實施例中,提供半導體結構的形成方法。方法包括形成第一鰭狀結構與第二鰭狀結構於基板上;以及形成第一凹陷於第一鰭狀結構的第一源極/汲極區中,並形成第二凹陷於第二鰭狀結構的第二源極/汲極區中。方法亦包括依序形成第一介電層與第二介電層於第一凹陷與第二凹陷中,第一介電層的組成為含矽介電材料,而第二介電層的組成為兩性金屬的氧化物。方法亦包括形成介電遮罩於第二介電層上並覆蓋第二凹陷;以及移除第一凹陷中的第一介電層與第二介電層的第一部分與介電遮罩。方法亦包括成長第一源極/汲極結構於第一凹陷中的第一鰭狀結構上。
在一些實施例中,第一鰭狀結構包括交錯堆疊的第一半導體層與第二半導體層,且第二鰭狀結構與基板的上側部分的組成為單一的連續材料。
在一些實施例中,上述方法更包括在成長第一源極/汲極結構之後,移除第二凹陷中的第一介電層與第二介電層的第二部分;成長第二源極/汲極結構於第二凹陷中的第二鰭狀結構上;以及形成閘極堆疊以越過第一鰭狀結構與第二鰭狀結構。
在一些實施例中,移除第一凹陷中的第一介電層與第二介電層的第一部分與介電遮罩的步驟包括濕蝕刻製程,其採用的酸性溶液的pH值為約3至約6.8。
在一些實施例中,上述方法更包括:形成虛置閘極結構以越過第一鰭狀結構與第二鰭狀結構;以及沿著虛置閘極結構的側壁形成閘極間隔物層,其中第一介電層位於閘極間隔物層與第二介電層之間;以及在成長第二源極/汲極結構之後移除虛置閘極結構。
在一些實施例中,移除第一凹陷中的第一介電層的第一部分之後,第一介電層的其餘部分保留於閘極間隔物層的側壁上。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
CH:通道區 SD:源極/汲極區 T1,T2,T3,T4,T5,T6:厚度 X-X,Y-Y:剖面 50A:第一裝置區 50B:第二裝置區 100,200,400:半導體結構 102:基板 104,104A,104B,404B:鰭狀結構 104L,404L:下側鰭狀物單元 106:第一半導體層 108:第二半導體層 110:隔離結構 112,128:虛置閘極結構 114:虛置閘極介電層 116:虛置閘極層 120,122:閘極間隔物層 120’,122’:鰭狀物間隔物層 128A,128B:源極/汲極凹陷 130:缺口 132:內側間隔物,內側間隔物層 134:第一介電遮罩層 134’,134”:保留部分 136:第二介電遮罩層 138,152:填充層 140:第三介電遮罩層 142,156:底抗反射塗層 144,158:頂部光阻遮罩 146A,146B:源極/汲極結構 147,168:最終閘極堆疊 148:第四介電遮罩層 150:第五介電遮罩層 154:第六介電遮罩層 160:接點蝕刻停止層 162:層間介電層 164:閘極溝槽 166:間隙 170:閘極介電層 172:金屬閘極層 202:縫隙
圖1係本發明一些實施例中,半導體結構的透視圖。 圖2A-1、2A-2、2B-1、2B-2、2C-1、2C-2、2D-1、2D-2、2E-1、2E-2、2F-1、2F-2、2G-1、2G-2、2H-1、2H-2、2I-1、2I-2、2J-1、2J-2、2K-1、2K-2、2L-1、2L-2、2M-1、2M-2、2N-1、2N-2、2O-1、2O-2、2P-1、2P-2、2Q-1、2Q-2、2R-1、2R-2、2S-1、2S-2、2T-1、2T-2、2U-1、及2U-2係本發明一些實施例中,形成半導體結構的多種中間階段的剖視圖。 圖3A至3G係一些實施例中,形成半導體結構的多種中間階段的剖視圖。 圖4係一些實施例中,半導體結構的剖視圖。 圖5A-1、5A-2、5B-1、5B-2、5C-1、5C-2、5D-1、5D-2、5E-1、及5E-2係本發明一些實施例中,形成半導體結構的多種中間階段的剖視圖。
50A:第一裝置區
50B:第二裝置區
102:基板
104L:下側鰭狀物單元
110:隔離結構
120’,122’:鰭狀物間隔物層
128B:源極/汲極凹陷
134:第一介電遮罩層
136:第二介電遮罩層
146A:源極/汲極結構

Claims (10)

  1. 一種半導體結構的形成方法,包括:形成一第一鰭狀結構與一第二鰭狀結構於一基板上,其中該第一鰭狀結構與該第二鰭狀結構的每一者包括交錯堆疊的多個第一半導體層與多個第二半導體層;形成一第一遮罩結構以覆蓋該第二鰭狀結構,其中該第一遮罩結構包括一第一介電層與一第二介電層位於該第一介電層上,且該第一介電層與該第二介電層的材料不同;形成一第一源極/汲極結構於該第一鰭狀結構中;移除該第一遮罩結構;形成一第二源極/汲極結構於該第二鰭狀結構中;移除該第一鰭狀結構與該第二鰭狀結構的每一者的該些第一半導體層,以自該第一鰭狀結構的該些第二半導體層形成多個第一奈米結構,並自該第二鰭狀結構的該些第二半導體層形成多個第二奈米結構;以及形成一閘極堆疊於該些第一奈米結構與該些第二奈米結構周圍。
  2. 如請求項1之半導體結構的形成方法,其中形成該第一遮罩結構的步驟包括:依序形成該第一介電層與該第二介電層於該第一鰭狀結構與該第二鰭狀結構上;以及蝕刻該第一鰭狀結構上的該第一介電層與該第二介電層的多個第一部分以露出該第一鰭狀結構,並保留該第一介電層與該第二介電層的多個第二部分於該第二鰭狀結構上以形成該第一遮罩結構。
  3. 如請求項2之半導體結構的形成方法,更包括:蝕刻該第一鰭狀結構與該第二鰭狀結構以分別形成一第一源極/汲極凹陷與一第二源極/汲極凹陷,其中該第一介電層與該第二介電層部分填入該第一源極/汲極凹陷與該第二源極/汲極凹陷。
  4. 如請求項3之半導體結構的形成方法,更包括:將一填充層超填該第一源極/汲極凹陷與該第二源極/汲極凹陷;形成一介電遮罩於該填充層上並覆蓋該第二鰭狀結構;採用該介電遮罩並蝕刻該填充層,直到露出該第一鰭狀結構上的該第二介電層的該第一部分;以及在蝕刻該第一鰭狀結構上的該第一介電層與該第二介電層的該些第一部分時,蝕刻該介電遮罩。
  5. 一種半導體結構的形成方法,包括:交錯堆疊多個第一半導體層與多個第二半導體層於一基板上;圖案化該些第一半導體層與該些第二半導體層成一第一鰭狀結構於該基板的一第一區中,以及一第二鰭狀結構於該基板的一第二區中;蝕刻該第一鰭狀結構以形成一第一凹陷,並蝕刻該第二鰭狀結構以形成一第二凹陷;形成一第一遮罩結構以覆蓋該第二凹陷並露出該第一凹陷,其中該第一遮罩結構包括一第一介電層與一第二介電層位於該第一介電層上,且該第一介電層的介電常數低於該第二介電層的介電常數;形成一第一源極/汲極結構於該第一凹陷中;移除該第一遮罩結構; 形成一第二遮罩結構以覆蓋該第一源極/汲極結構並露出該第二凹陷;形成一第二源極/汲極結構於該第二凹陷中;以及移除該第二遮罩結構。
  6. 如請求項5之半導體結構的形成方法,更包括:形成該第一介電層以覆蓋該基板的該第一區與該第二區;形成該第二介電層於該第一介電層上;蝕刻該第一區中的該第二介電層的一部分;以及蝕刻該第一區中的該第一介電層的一部分。
  7. 如請求項5或6之半導體結構的形成方法,更包括:自該第二凹陷使該第二鰭狀結構的該些第一半導體層橫向凹陷,以形成多個缺口;形成多個內側間隔物於該些缺口中,其中該些內側間隔物與該第二介電層隔有該第一介電層。
  8. 一種半導體結構的形成方法,包括:形成一第一鰭狀結構與一第二鰭狀結構於一基板上;形成一第一凹陷於該第一鰭狀結構的一第一源極/汲極區中,並形成一第二凹陷於該第二鰭狀結構的一第二源極/汲極區中;依序形成一第一介電層與一第二介電層於該第一凹陷與該第二凹陷中,其中該第一介電層的組成為含矽介電材料,而該第二介電層的組成為兩性金屬的氧化物;形成一介電遮罩於該第二介電層上並覆蓋該第二凹陷;移除該第一凹陷中的該第一介電層與該第二介電層的多個第一部分與該介 電遮罩;以及成長一第一源極/汲極結構於該第一凹陷中的該第一鰭狀結構上。
  9. 如請求項8之半導體結構的形成方法,其中該第一鰭狀結構包括交錯堆疊的多個第一半導體層與多個第二半導體層,且該第二鰭狀結構與該基板的一上側部分的組成為單一的連續材料。
  10. 如請求項8或9之半導體結構的形成方法,更包括:在成長該第一源極/汲極結構之後,移除該第二凹陷中的該第一介電層與該第二介電層的多個第二部分;成長一第二源極/汲極結構於該第二凹陷中的該第二鰭狀結構上;以及形成一閘極堆疊以越過該第一鰭狀結構與該第二鰭狀結構。
TW110133207A 2021-04-22 2021-09-07 半導體結構的形成方法 TWI811783B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/237,249 US20220344217A1 (en) 2021-04-22 2021-04-22 Method for forming semiconductor structure
US17/237,249 2021-04-22

Publications (2)

Publication Number Publication Date
TW202243006A TW202243006A (zh) 2022-11-01
TWI811783B true TWI811783B (zh) 2023-08-11

Family

ID=82975863

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110133207A TWI811783B (zh) 2021-04-22 2021-09-07 半導體結構的形成方法

Country Status (3)

Country Link
US (1) US20220344217A1 (zh)
CN (1) CN114975267A (zh)
TW (1) TWI811783B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180315601A1 (en) * 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning Method for Semiconductor Device and Structures Resulting Therefrom
US20200373159A1 (en) * 2019-05-24 2020-11-26 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10504900B2 (en) * 2018-04-23 2019-12-10 International Business Machines Corporation Enhanced field Resistive RAM integrated with nanosheet technology
US10593673B2 (en) * 2018-05-15 2020-03-17 International Business Machines Corporation Nanosheet with single epitaxial stack forming off-set dual material channels for gate-all-around CMOS
US11398476B2 (en) * 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10872825B2 (en) * 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10930767B2 (en) * 2018-07-16 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-like field effect transistor patterning methods for achieving fin width uniformity
US10833198B2 (en) * 2019-03-14 2020-11-10 International Business Machines Corporation Confined source drain epitaxy to reduce shorts in CMOS integrated circuits
US11038058B2 (en) * 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11545490B2 (en) * 2019-12-17 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11139379B2 (en) * 2020-01-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
CN111261586B (zh) * 2020-01-22 2023-03-14 成都工业学院 一种中孔半导体纳米结构的制作方法
US11502183B2 (en) * 2020-01-29 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap in inner spacers and methods of fabricating the same in field-effect transistors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180315601A1 (en) * 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning Method for Semiconductor Device and Structures Resulting Therefrom
US20200373159A1 (en) * 2019-05-24 2020-11-26 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate

Also Published As

Publication number Publication date
TW202243006A (zh) 2022-11-01
CN114975267A (zh) 2022-08-30
US20220344217A1 (en) 2022-10-27

Similar Documents

Publication Publication Date Title
TWI828806B (zh) 半導體裝置與其形成方法
US20150145056A1 (en) Semiconductor device and a method for fabricating the same
CN110896075B (zh) 集成电路存储器及其制备方法
TW201926478A (zh) 半導體結構及其製造方法
US11855162B2 (en) Contacts for semiconductor devices and methods of forming the same
TW202207368A (zh) 形成半導體裝置的方法
TWI817153B (zh) 半導體裝置的形成方法
TWI777530B (zh) 半導體裝置與其形成方法
TW202203375A (zh) 半導體結構的形成方法
TW202201729A (zh) 半導體裝置
TWI832135B (zh) 半導體裝置的形成方法
TWI811783B (zh) 半導體結構的形成方法
TW202303746A (zh) 半導體裝置與其形成方法
TW202230528A (zh) 半導體元件及其製造方法
TW202131519A (zh) 半導體裝置
TWI814566B (zh) 半導體裝置與其形成方法
TWI795774B (zh) 填充結構及其製造方法
TWI838669B (zh) 半導體裝置及其形成方法
US11615965B2 (en) Semiconductor FinFET device and method
US20230187216A1 (en) Semiconductor FinFET Device and Method
US20230317469A1 (en) Semiconductor Device and Methods of Forming the Same
TW202410163A (zh) 奈米結構場效電晶體及其製造方法
TW202234525A (zh) 半導體裝置的形成方法
TW202322396A (zh) 半導體裝置及其形成方法
TW202230606A (zh) 半導體裝置