TWI777530B - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TWI777530B
TWI777530B TW110115300A TW110115300A TWI777530B TW I777530 B TWI777530 B TW I777530B TW 110115300 A TW110115300 A TW 110115300A TW 110115300 A TW110115300 A TW 110115300A TW I777530 B TWI777530 B TW I777530B
Authority
TW
Taiwan
Prior art keywords
gate
layer
dielectric layer
forming
fins
Prior art date
Application number
TW110115300A
Other languages
English (en)
Other versions
TW202145319A (zh
Inventor
林士堯
邱志忠
高魁佑
陳振平
林志翰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145319A publication Critical patent/TW202145319A/zh
Application granted granted Critical
Publication of TWI777530B publication Critical patent/TWI777530B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)

Abstract

本發明關於半導體裝置與其製造方法。方法包括形成鰭狀物於裝置區中,並形成半導體材料之多層堆疊中的其他鰭狀物於多通道裝置區中。自多層堆疊頂部移除犧牲層,以露出多通道裝置區中的最頂部的奈米結構。一旦移除犧牲層,即可自多層堆疊形成奈米結構的堆疊。形成第一厚度的原生氧化物於最頂部的奈米結構上,並形成第二厚度的原生氧化物層於堆疊的保留的奈米結構上,且第一厚度大於第二厚度。閘極介電層形成於裝置區中的鰭狀物上。閘極形成於裝置區中的閘極介電層上,並圍繞多通道裝置區中的原生氧化物。

Description

半導體裝置與其形成方法
本發明實施例關於半導體裝置與其形成方法。
半導體裝置用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並採用微影圖案化多種材料層以形成電子構件與單元於半導體基板上。
半導體產業持續減少最小結構尺寸以持續改善多種電子構件(如電晶體、二極體、電阻、電容器、或類似物)的積體密度,可整合更多構件至給定面積中。然而隨著最小結構尺寸縮小,產生需解決的額外問題。
在一些實施例中,半導體裝置的形成方法包括形成半導體鰭狀物於基板上;形成多通道鰭狀物於基板上,且多通道鰭狀物包括犧牲材料;自多通道鰭狀物移除犧牲材料,而不自半導體鰭狀物移除材料;在移除犧牲材料之後,自多通道鰭狀物形成多個奈米結構的堆疊;以及形成閘極於奈米結構的堆疊與半導體鰭狀物上。
在另一實施例中,半導體裝置的形成方法包括:形成第一鰭狀物於基板上;形成第二鰭狀物於基板上,且第二鰭狀物包括半導體材料的堆疊以及犧牲層於多層堆疊上;移除犧牲層;在移除犧牲層之後,自多層堆疊形成奈米結構;以及形成閘極於第一鰭狀物與奈米結構上,而閘極的上表面與第一鰭狀物隔有第一距離,並與奈米結構的最頂部奈米結構隔有第二距離,且第二距離大於第一距離。
在又一實施例中,半導體裝置包括半導體鰭狀物,位於基板上;多通道裝置,位於基板上;第一閘極介電層,包含第一界面以圍繞多通道裝置的最頂部奈米結構,以及第二界面以圍繞多通道裝置的另一奈米結構,且第一閘極介電層在第一界面的第一厚度大於第一閘極介電層在第二界面的第二厚度;以及閘極,位於第一界面與第二界面處的第一閘極介電層上並圍繞第一界面與第二界面處的第一閘極介電層,且閘極在半導體鰭狀物上的第一高度小於閘極在最頂部奈米結構上的第二高度。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90˚或其他角度,因此方向性用語僅用以說明圖示中的方向。圖式中具有相同標號的單元可具有相同材料組成,除非特別說明。
下述內容將搭配含有鰭狀場效電晶體的多個主動構件與多個奈米結構裝置的具體實施例說明。然而此處所述的實施例僅用於說明,而非侷限本發明實施例至此處明確說明的內容。相反地,此處說明的概念可結合至廣泛種類的實施例中。
如圖1所示,結構100包括基板101,其具有第一溝槽103形成其中,且第一溝槽103位於裝置區120、第一多通道裝置區130、與第二多通道裝置區140之間。基板101可為矽基板,但亦可採用其他基板如絕緣層上半導體基板、應變的絕緣層上半導體基板、或絕緣層上矽鍺基板。基板101可為p型半導體,但其他實施例的基板101可為n型半導體。
在一些實施例中,基板101包含多通道裝置區117以用於形成主動裝置(如多通道裝置)。在這些實施例中,一開始形成空洞於基板101中,以形成半導體材料的多層堆疊於多通道裝置區117中。可採用遮罩層(未圖示於圖1中)與合適的蝕刻製程,以形成空洞於基板101中。舉例來說,遮罩層可為含氮化矽的硬遮罩,其形成製程可為化學氣相沉積。但亦可採用其他材料作為遮罩層,比如氧化物、氮氧化物、碳化矽、上述之組合、或類似物,亦可採用其他製程如電漿輔助化學氣相沉積或低壓化學氣相沉積。遮罩層的形成方法亦可為形成氧化矽之後進行氮化製程。一旦形成遮罩層,即可由合適的光微影製程圖案化遮罩層,以露出基板101將移除以形成空洞的部分。
本技術領域中具有通常知識者應理解,形成遮罩層的上述製程與材料,並非保護基板101的部分並露出基板101用以形成空洞的其他部分之唯一方法。可採用任何合適製程如圖案化與顯影的光阻,以露出基板101即將移除以形成空洞的部分。所有的這些方法完全包含於本發明實施例的範疇中。
一旦形成與圖案化遮罩層,即可形成空洞於基板101的多通道裝置區117中。移除露出的基板101以形成空洞的方法,可為合適製程如反應性離子蝕刻,但亦可採用任何合適製程。一旦形成空洞於基板101中,可進行一系列的沉積製程以形成交錯材料的多層堆疊119於基板101的空洞中。在一些實施例中,多層堆疊119包括第一半導體材料的第一層121與第二半導體材料的第二層123。
在一些實施例中,第一層121的組成可採用第一晶格常數的第一半導體材料,比如矽鍺、鍺、矽、砷化鎵、銻化銦、銻化鎵、砷化銦鋁、砷化銦鎵、磷化鎵銻、砷化鎵銻、上述之組合、或類似物。在一些實施例中,第一半導體材料(如矽鍺)的第一層121可磊晶成長於基板101上,且其沉積技術可為磊晶成長、氣相磊晶、或分子束磊晶,但亦可採用其他沉積製程如化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、超高真空化學氣相沉積、遠端電漿化學氣相沉積、上述之組合、或類似製程。在一些實施例中,第一層121的第一厚度介於約30 Å至約300 Å之間。然而可採用任何合適的厚度,此仍屬於實施例的範疇中。
一旦形成第一層121於基板101的空洞中,則可形成第二層123於第一層121上。在一些實施例中,第二層123的組成可採用第二晶格常數的第二半導體材料,比如矽、矽鍺、鍺、砷化鎵、銻化銦、銻化鎵、砷化銦鋁、砷化銦鎵、磷化鎵銻、砷化鎵銻、上述之組合、或類似物,且第二晶格常數不同於第一層121的第一晶格常數。在具體實施例中,第一層121為矽鍺,而第二層為矽。然而可採用任何合適的材料組合以用於第一層121與第二層123。
在一些實施例中,磊晶成長第二層123於第一層121上,其形成方法可與形成第一層121的沉積技術類似。然而第二層123的形成方法可採用適於形成第一層121的任何沉積技術,比如前述或任何其他的合適技術。在一些實施例中,第二層123與第一層121的厚度類似。然而第二層123與第一層121的厚度不同。在一些實施例中,第二層123的第二厚度可介於約10 Å至約500 Å之間。然而可採用任何合適厚度。
一旦形成第二層123於第一層121上,可重複沉積製程以形成一系列交錯的第一層121與第二層123,直到形成多層堆疊119所需的最頂層。在此實施例中,第一層121可具有相同或類似的第一厚度,而第二層123可具有相同或類似的第二厚度。然而第一層121的厚度可彼此不同,及/或第二層123的厚度可彼此不同。第一層121與第二層123可具有任何的厚度組合。
此外,最頂層125的組成可為犧牲材料如矽為主的犧牲材料層,比如矽鍺、氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、上述之組合、或類似物,且其形成方法可採用沉積法如化學氣相沉積、物理氣相沉積、原子層沉積、上述之組合、或類似沉積法。在一些實施例中,形成多層堆疊119的最頂層125如第一層121 (比如矽鍺)。在其他實施例中,採用矽為主的材料形成最頂層125,其不同於第一層121與第二層123。在一些實施例中,最頂層125的厚度介於約0.5 Å至約300 Å之間。然而可採用任何合適厚度。
雖然此處揭露的實施例包含三至十個第一層121與三至十個第二層123,但多層堆疊119可具有任何合適數目的層狀物。舉例來說,多層堆疊119可包含2至20層。在一些實施例中,多層堆疊119可具有相同數目的第一層121與第二層123。然而其他實施例中第一層121與第二層123的數目不同。此外,可形成多層堆疊119以填入及/或超填基板中的空洞。一旦形成多層堆疊119,可採用合適的平坦化技術如化學機械研磨,以平坦化基板101的頂部與多層堆疊119。
本技術領域中具有通常知識者應理解,上述形成多通道裝置區117的製程僅為可能的製程而非唯一實施例。相反地,可採用任何合適製程形成多通道裝置區117,包括採用任何合適數目的遮罩與移除步驟。
一旦形成多通道裝置區117,即可形成第一溝槽103如最終形成隔離區401的初始步驟。第一溝槽103的形成方法可為採用遮罩層(未圖示於圖1)與合適的蝕刻製程,比如形成空洞的上述製程。一旦形成遮罩層即可由合適的微影製程穿過遮罩層,以露出基板101與多層堆疊即將移除以形成第一溝槽103的部分。
然而本技術領域中具有通常知識者應理解,上述形成遮罩層的製程與材料並非保護基板101與多層堆疊119的部分,且露出基板101與多層堆疊119以形成第一溝槽103的唯一方法。可採用任何合適製程(如圖案化與顯影的光罩),以露出即將移除並形成第一溝槽103的這些部分。所有這些方法完全包含於本發明實施例的範疇中。
一旦形成與圖案化遮罩層,即可形成第一溝槽103於基板101與多層堆疊119中。移除露出的材料以形成第一溝槽103於基板101中的方法,可為合適製程如經由多層堆疊119的一或多道反應性離子蝕刻,但亦可採用任何合適製程。
然而本技術領域中具有通常知識者應理解,形成第一溝槽103的上述製程僅為可能的製程之一,而非唯一實施例。相反地,可採用任何合適製程形成第一溝槽103,包括任何數目的遮罩與移除步驟。
除了形成第一溝槽103,遮罩與蝕刻製程可自基板101的這些部分額外形成多個鰭狀物105,且多層堆疊119維持未移除。為了方便圖示鰭狀物105,其與基板101之間以虛線分隔,但可或可不存在物理分隔。如下所述,這些鰭狀物105可用於形成主動構件,比如鰭狀場效電晶體及/或多通道電晶體(比如奈米片場效電晶體、全繞式閘極電晶體、或類似物)。雖然圖1顯示裝置區120中的兩個鰭狀物105,第一多通道裝置區130中的一個鰭狀物105、與第二多通道裝置區140中的兩個鰭狀物105,任何這些裝置區可採用任何數目的鰭狀物105。
裝置區120中的鰭狀物105在基板101的表面之第一寬度W1 可介於約5 nm制約80 nm之間。第一多通道裝置區130中的鰭狀物105在基板101的表面之第二寬度W2 可介於約3 nm至約500 nm之間。此外,第二多通道裝置區140中的鰭狀物105在基板101的表面之第三寬度W3 可介於約3 nm至約300 nm之間。然而可採用任何合適寬度與距離。在一些實施例中,分隔區中的鰭狀物105之間的距離近到足以與其他裝置區中的一或多個其他鰭狀物105共用共同閘極,
此外,雖然上述的具體實施例形成裝置區120、第一多通道裝置區130、及第二多通道裝置區140中的主動構件之鰭狀物105,但這些內容僅用以說明而非侷限本發明實施例。相反地,可由任何合適方法圖案化鰭狀物105。在另一例中,可採用一或多道光微影製程圖案化鰭狀物105,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,而保留的間隔物之後可用於圖案化鰭狀物105。可採用任何合適製程。
圖2係圖1中的結構之剖視圖,其更顯示沉積介電材料107以準備形成隔離區401。一旦形成第一溝槽103,可將介電材料107如氧化物材料(如可流動的氧化物)、高密度電漿的氧化物、或類似物填入第一溝槽103。在視情況情接與襯墊第一溝槽103之後,可採用化學氣相沉積法(如高深寬比製程)、高密度電漿化學氣相沉積法、或其他合適方法以形成介電材料107。
在一些實施例中,可將介電材料107填入或超填第一溝槽103,並沉積介電材料107於基板101與鰭狀物105上。一旦沉積介電材料107,即可移除第一溝槽103與鰭狀物105之外的額外材料,以平坦化介電材料107、鰭狀物105、與多層堆疊119的最頂層125,且平坦化的方法可為合適製程如化學機械研磨、蝕刻、上述之組合、或類似製程。在一實施例中,移除製程可移除鰭狀物105上的任何其他介電材料(如硬遮罩、遮罩層、或類似物),而移除介電材料107的步驟將露出鰭狀物105的表面與多層堆疊119的最頂層125,以進行後續製程步驟。
如圖3所示,形成凹陷301於多層堆疊119中。凹陷301的形成方法可為移除多層堆疊119的最頂層125之犧牲材料。在一些實施例中,凹陷301的形成方法可為進一步移除最頂層125之下以及與最頂層125相鄰的一些第二層123。在一些實施例中,凹陷301的形成方法可採用乾蝕刻及/或濕蝕刻,其蝕刻劑對最頂層125的材料(如矽鍺)的選擇性大於對第二層123的材料(如矽)的選擇性。
舉例來說,在最頂層125為矽鍺而第二層123為矽的實施例中,可採用乾蝕刻如電漿蝕刻、遠端電漿蝕刻、化學蝕刻、或類似方法以移除最頂層125。在一些實施例中,移除最頂層125的方法可導入第一前驅物(如主要蝕刻氣體)、第二前驅物(如鈍化氣體)、及/或第三前驅物(如稀釋氣體)至最頂層125的材料,以進行一或多道的電漿蝕刻、遠端蝕刻、及/或化學蝕刻。第一前驅物、第二前驅物、與第三前驅物可一起視作蝕刻環境。第一前驅物可包含但不限於氣體如氯氣、溴化氫、四氟化碳、氟仿、二氟甲烷、氟化甲烷、六氟丁二烯、三氯化硼、六氟化硫、氫氣、三氟化氮、上述之組合、或類似物。可添加第二前驅物以調整蝕刻製程的選擇性,其可包含但不限於氣體如氮氣、氧氣、二氧化碳、二氧化硫、一氧化碳、四氯化矽、上述之組合、或類似物。第三前驅物可包含但不限於鈍氣如氬氣、氦氣、氖氣、上述之組合、或類似物。在一些實施例中,乾蝕刻製程的電漿源功率可介於約10瓦至約3000瓦之間,且電漿偏功率介於約0瓦至約3000瓦之間。在一些實施例中,乾蝕刻製程的製程壓力可介於約1 mTorr至約10 mTorr之間。在一些實施例中,前驅物的製程流速可介於約10 sccm至約5000 sccm之間。然而可採用任何合適的製程條件。
在其他實施例中,可進行濕蝕刻製程而非上述的乾蝕刻製程,以準備後續製程所用的結構。在此實施例中,濕蝕刻製程可採用清潔溶液,其包含的主要蝕刻化學劑可為氫氟酸、氟氣、或類似物。在一些實施例中,清潔溶液可更包含選擇性調整清潔溶液所用的蝕刻輔助化學劑。蝕刻輔助劑包含但不限於化學劑如硫酸、氯化氫、溴化氫、氨、或類似物。此外,清潔溶液包含溶劑如去離子水、醇類、丙酮、或類似物,以輸送清潔溶液中的蝕刻化學劑。在一些實施例中,濕蝕刻製程可為浸入製程、噴灑製程、旋轉塗佈製程、或類似製程。然而可採用任何合適的清潔溶液或任何合適的製程,其完全包含於實施例的範疇中。
在一些實施例中,可採用蝕刻製程移除犧牲材料的部分,並形成凹陷301。舉例來說,一些實施例形成凹陷於多層堆疊119中的第一深度D1可介於約0.5 nm至約30 nm之間。然而可採用任何合適深度。
此外,一些實施例亦可進行蝕刻製程以移除與最頂層125相鄰並位於最頂層125之下的第二層123的部分,使凹陷的尺寸進一步延伸至多層堆疊119中。在一些實施例中,凹陷301延伸至第二層123中的深度可介於約0.5 nm至約20 nm之間。然而可採用任何合適深度。
雖然上述內容說明乾蝕刻製程與濕式清潔蝕刻製程,但這些製程並非使最頂層125凹陷的唯一製程。舉例來說,使最頂層125凹陷的另一實施例可採用濕蝕刻製程、等向乾蝕刻製程、或濕蝕刻製程與等向蝕刻製程的組合。可採用任何合適製程使最頂層125凹陷,且所有的這些製程完全包含於實施例的範疇中。
如圖4所示的一些實施例,形成隔離區401。一旦將介電材料107填入第一溝槽103並移除犧牲材料,接著可使介電材料107自鰭狀物105的表面凹陷。可進行凹陷步驟,以露出與鰭狀物105的上表面相鄰的鰭狀物105的側壁之至少一部分。可將鰭狀物105浸入蝕刻劑如氫氟酸進行濕蝕刻使介電材料107凹陷,但亦可採用其他蝕刻劑如氫氣,或採用其他方法如反應性離子蝕刻、採用蝕刻劑如氨與三氟化氮的乾蝕刻、化學氧化物移除、或乾式化學清潔。介電材料107可自鰭狀物105的表面凹陷第二距離D2,其介於約50 Å至約2000 Å之間。此外,凹陷步驟亦可移除鰭狀物105上的其他保留的介電材料107,確保露出鰭狀物105以用於後續製程。
如圖5所示的一些實施例,形成虛置閘極介電層501於鰭狀物105與多層堆疊119的露出部分上。一旦形成隔離區401,即可形成虛置閘極介電層501,其形成方法可為熱氧化、化學氣相沉積、濺鍍、或本技術領域已知用於形成閘極介電層的任何其他方法。在一些實施例中,虛置閘極介電層501的形成方法可為沉積材料如氧,接著氧化或氮化矽層以形成介電層如氧化矽或氮氧化矽。在這些實施例中,虛置閘極介電層501的厚度可介於約3 Å至約100 Å之間。在其他實施例中,虛置閘極介電層501的組成亦可為高介電常數材料如氧化鑭、氧化鋁、氧化鉿、氮氧化鉿、氧化鋯、或上述之組合,且其等效氧化物厚度介於約0.5 Å至約100 Å之間。此外,亦可採用氧化矽、氮氧化矽、及/或高介電常數材料的任何組合,以用於虛置閘極介電層501。依據精準的形成方法,虛置閘極介電層501可選擇性地形成於鰭狀物105上(如圖示),或毯覆性地沉積於整個結構上。
如圖5所示的一些實施例,形成虛置閘極503於虛置閘極介電層501上。在一些實施例中,虛置閘極503的形成方法可為沉積導電材料如多晶矽、鎢、鋁、銅、鋁銅、鈦、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、鉭、氮化鉭、鈷、鎳、上述之組合、或類似物。導電材料的沉積方法可為化學氣相沉積、濺鍍沉積、或本技術領域已知用於沉積導電材料的其他技術。導電材料的厚度可為約5 Å至約500 Å。導電材料可具有不平坦的上表面,且可在圖案化虛置閘極503或閘極蝕刻之前採用製程如化學機械研磨以平坦化導電材料的上表面。此時可或可不將離子導入虛置閘極503。舉例來說,可由離子佈植技術導入離子。
一旦平坦化導電材料,即可圖案化虛置閘極介電層501與虛置閘極503。在一實施例中,圖案化步驟可先形成第一硬遮罩507於虛置閘極503上,並形成第二硬遮罩509於第一硬遮罩507上。在一些實施例中,第一硬遮罩507包括介電材料如氮化矽、氧化矽、氮化鈦、氮氧化矽、上述之組合、或類似物。第一硬遮罩507的形成製程可採用化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、或類似製程。
然而可採用任何其他合適的材料與形成方法。第一硬遮罩507的厚度可介於約20 Å至約3000 Å之間。
第二硬遮罩509包含的介電材料與第一硬遮罩507的材料不同。第二硬遮罩509可包含任何材料,並採用適於形成第一硬遮罩507的任何製程,且可與第一硬遮罩507的厚度相同或類似。在第一硬遮罩507含氮化矽的實施例中,第二硬遮罩509可為氧化物。然而可採用任何合適的介電材料、製程、與厚度,以形成第二硬遮罩。
一旦形成第一硬遮罩507與第二硬遮罩509,即可圖案化第一硬遮罩507與第二硬遮罩509。在一實施例中,第一硬遮罩507與第二硬遮罩509的圖案化方法可先將光阻(未圖示)於第一硬遮罩507與第二硬遮罩509上,並以圖案化的能量源(如光)曝光光阻,使調整光阻的曝光部分之物理性質的化學反應起始。接著施加顯影劑以顯影曝光的光阻,藉由曝光區與未曝光區之間的物理性質不同,可選擇性移除曝光區或未曝光區。
一旦圖案化光阻,即可採用光阻作為遮罩以圖案化下方的第一硬遮罩507與第二硬遮罩509。在一實施例中,圖案化第一硬遮罩507與第二硬遮罩509的方法可採用光阻作為遮罩,並進行一或多道反應性離子蝕刻製程。可持續圖案化製程,直到露出第一硬遮罩507之下的虛置閘極503。
一旦圖案化第一硬遮罩507與第二硬遮罩509,即可採用灰化製程等方法移除光阻,比如加入光阻的溫度直到光阻熱分解,其可由一或多道清潔製程輕易移除。然而可採用任何其他合適的移除製程。
一旦移除光阻,即可採用第一硬遮罩507與第二硬遮罩509圖案化虛置閘極503與虛置閘極介電層501,以形成虛置閘極堆疊505。在一實施例中,可採用非等向蝕刻製程如反應性離子蝕刻圖案化虛置閘極503與虛置閘極介電層501,但亦可採用任何合適製程。
雖然圖5未顯示,但可毯覆性沉積第一間隔物材料於虛置閘極堆疊505與結構100的露出表面上。如此一來,可沉積第一間隔物材料於虛置閘極堆疊505的上表面與側壁上、鰭狀物105與多層堆疊119的上表面與側壁上、以及隔離區401的上表面上。在一些實施例中,第一間隔物材料包括介電材料,且其形成方法可採用化學氣相沉積、電漿輔助化學氣相沉積、濺鍍、熱氧化、或任何其他合適方法。在一些實施例中,第一間隔物材料包含的材料可為氧化矽、氮氧化矽、氮化矽、碳氧化矽、碳氮氧化矽、任何合適材料如介電常數小於約4.0的低介電常數材料、上述之組合、或類似物。
在一些實施例中,可沉積並圖案化第一間隔物材料以形成單層或多層的閘極間隔物905 (未圖示於圖5中,但將搭配圖9說明如下)。可採用任何合適數目的間隔物材料與任何合適組合的沉積與移除製程以形成閘極間隔物905,且所有的這些製程完全包含於實施例的範疇中。
一旦形成第一間隔物材料,即可圖案化第一間隔物材料以沿著虛置閘極堆疊505與鰭狀物105的側壁成形多個閘極間隔物905 (如圖9所示),並露出虛置閘極介電層501未覆蓋的第二硬遮罩509的頂部與鰭狀物105的部分。在一些實施例中,圖案化第一間隔物材料的方法可採用非等向蝕刻製程(乾蝕刻製程)如反應性離子蝕刻製程、等向蝕刻製程(濕蝕刻製程)、上述之組合、或類似方法。在一些實施例中,第一間隔物材料形成於鰭狀物105上,而圖案化製程及/或後續蝕刻製程時可使源極/汲極區901 (如圖9所示)即將形成的位置凹陷。如此一來,可再露出即將形成源極/汲極區901的位置中的鰭狀物105之側壁的部分與上表面。
一旦再露出鰭狀物105與多層堆疊119,即可使鰭狀物105與閘極間隔物905之間的多層堆疊119的材料凹陷至所需深度,以形成開口於最終形成源極/汲極區901的位置中。在一些實施例中,鰭狀物105與多層堆疊119凹陷的深度與隔離區401的頂部齊平。在一些實施例中,鰭狀物105與多層堆疊119凹陷的深度低於隔離區401的頂部。在一些實施例中,最終形成源極/汲極區901的位置中的開口,延伸至基板101中的深度可介於約3 nm至約40 nm之間。然而這些開口可採用任何合適尺寸。在一些實施例中,可採用一或多道非等向蝕刻如反應性離子蝕刻以形成鰭狀物105與多層堆疊119中的凹陷,但亦可採用任何合適製程。
在源極/汲極區901所用的這些開口之側壁,可視情況形成內側間隔物(未圖示)於多層堆疊119的第一層121中。視情況形成的內側間隔物之形成方法,可先圖案化凹陷至源極/汲極區901所用的開口之側壁所露出的第一層121的材料中。在一些實施例中,可採用濕蝕刻且其蝕刻劑對第一層121的材料(如矽鍺)之選擇性大於對第二層123或基板101的材料(如矽)之選擇性,以形成凹陷於第一層121中。舉例來說,一實施例的第一層121為矽鍺且第二層123為矽,則濕蝕刻可採用蝕刻劑如氯化氫。然而可採用任何合適的材料與蝕刻劑。
在一實施例中,蝕刻製程可為浸入製程、噴灑製程、旋轉塗佈製程、或類似製程,且可採用任何合適製程溫度(比如介於約400℃至約600℃之間)與任何合適的製程時間(比如介於約100秒至約1000秒之間,例如約300秒)。然而可採用任何合適的製程條件與參數。可持續蝕刻製程,使凹陷形成於每一第一層121中,且自開口側壁凹陷至所需距離。在一些實施例中,形成於每一第一層121中的凹陷具有受限於晶面的表面。
然而濕蝕刻製程並非使第一層121凹陷的唯一製程。舉例來說,另一實施例使第一層121凹陷的方法可為等向乾蝕刻製程或乾蝕刻製程與濕蝕刻製程的組合。可採用任何合適的製程使第一層121凹陷,且這些製程完全包含於實施例的範疇中。
一旦形成凹陷於每一第一層121中,即可形成第二間隔物材料於結構100上。在一些實施例中,第二間隔物材料可與閘極間隔物905的材料不同,且可為含矽的介電材料如氮化矽、氧化矽、氮氧化矽、碳氮氧化矽、碳氮化矽、或碳氧化矽,但亦可採用任何合適材料如介電常數低於約4.0的低介電常數材料,甚至是氣隙,或上述之組合。第二間隔物材料的沉積方法可採用沉積製程如化學氣相沉積、物理氣相沉積、或原子層沉積,且沉積厚度可介於約2 nm至約10 nm之間。然而可採用任何合適厚度或沉積製程。
藉由沉積第二間隔物材料於結構100上,第二間隔物材料將襯墊源極/汲極區901所用的位置中的開口側壁,亦可填入第一層121中的凹陷。一旦將第二間隔物材料填入凹陷,即可進行移除製程以自開口移除任何多餘的第二間隔物材料,並保留視情況形成的內側間隔物。在一實施例中,可採用蝕刻製程如非等向的乾蝕刻製程如反應性離子蝕刻製程,以移除多餘的第二間隔物材料。然而可採用任何合適的蝕刻製程,以自開口移除多餘的第二間隔物材料,並保留視情況形成的內側間隔物。
藉由將第二間隔物材料填入凹陷,並自開口移除多餘的第二間隔物材料,則視情況形成的內側間隔物符合凹陷的形狀。可採用任何合適形狀如凸起形狀或凹陷形狀,甚至可使視情況形成的內側間隔物凹陷。所有的這些形狀完全包含於實施例的範疇中。在一些實施例中,視情況形成的內側間隔物之寬度可介於約2 nm至約10 nm之間,且高度介於約5 nm至約20 nm之間。此外,視情況形成的內側間隔物分開的距離可介於約3 nm至約10 nm之間。然而可採用任何合適寬度、高度、與分開距離。
一旦形成開口及/或視情況形成的內側間隔物,即可形成源極/汲極區901於開口中。源極/汲極區901的形成方法可採用成長製程如選擇性磊晶製程與適於形成所需裝置的半導體材料。舉例來說,在採用源極/汲極區901以形成n型金氧半裝置的實施例中,源極/汲極區901可為半導體材料如矽、磷化矽、碳磷化矽、上述之組合、或類似物。
磊晶成長製程可採用前驅物如矽烷、二氯矽烷、鍺烷、或類似物,且可持續約5分鐘至約120分鐘。在一些實施例中,源極/汲極區901的高度介於約30 nm至約90 nm之間。然而可採用任何合適的高度及/或深度。此外,一些實施例的磊晶成長可超出鰭狀物105及/或多層堆疊119的初始尺寸。如此一來,一些實施例可一起進行與一或多個鰭狀物105相關或與多層堆疊119之一者相關的磊晶成長,以及與另一鰭狀物105相關或與另一堆層堆疊119相關的磊晶成長。此外,可一起進行與裝置區120、第一多通道裝置區130、及/或第二多通道裝置區140相關的一或多道磊晶成長,以形成單一磊晶成長區。然而這些裝置區亦可成長為分開的磊晶成長區。
一旦形成源極/汲極區901,即可佈植合適的摻質至源極/汲極區901中,以完成第一裝置區的其餘部分中的摻質。舉例來說,可佈植n型摻質如磷、碳、砷、矽、銻、類似物、或上述之組合(如磷化矽、碳化矽、碳磷化矽、砷化矽、矽、銻、或類似物)以形成n型金氧半場效電晶體裝置。佈植這些摻質的方法可採用虛置閘極堆疊505與閘極間隔物905作為遮罩。
在另一實施例中,可在磊晶成長源極/汲極區901時,將摻質置入源極/汲極區901。舉例來說,可在形成源極/汲極區901時原位置入磷。可採用任何合適製程以將摻質置入源極/汲極區901,且所有的這些製程完全包含於實施例的範疇中。此外,可進行退火以活化源極/汲極區901中的摻質。在退火製程時,源極/汲極區901的摻質可橫向擴散至第二層123與源極/汲極區901之間的界面之第二層123中。如此一來,可形成輕摻雜汲極區於第二層123中。
一旦形成源極/汲極區901,可形成接點蝕刻停止層903 (如圖9所示)於源極/汲極區901與結構100的其他露出表面上。接點蝕刻停止層903可作為後續蝕刻製程中的蝕刻停止層,且可包含合適材料如氮化矽、氮氧化矽、碳氮化矽、上述之組合、或類似物。接點蝕刻停止層903的形成方法可為合適的沉積製程,比如化學氣相沉積、物理氣相沉積、上述之組合、或類似製程。
一旦形成接點蝕刻停止層903,可形成層間介電層907於接點蝕刻停止層903上,如圖9所示。在一些實施例中,層間介電層907包含材料如氧化矽、低介電常數的介電材料(如介電常數低於氧化矽的材料)如氮氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟矽酸鹽玻璃、有機矽酸鹽玻璃、碳氧化矽、旋轉塗佈玻璃、旋轉塗佈聚合物、碳矽材料、上述之化合物、上述之複合物、類似物、或上述之組合,但亦可採用任何合適的介電材料。層間介電層907的形成製程可採用電漿輔助化學氣相沉積,但亦可採用其他製程如低壓化學氣相沉積。
一旦形成層間介電層907,即可採用平坦化製程如化學機械研磨以平坦化層間介電層907、接點蝕刻停止層903、虛置閘極503、與閘極間隔物905。然而可採用任何合適的平坦化製程。此外,平坦化製程時可移除第一硬遮罩507與第二硬遮罩509。在一些實施例中,可採用一或多道蝕刻製程及/或化學機械研磨製程,以移除第一硬遮罩507與第二硬遮罩509。如此一來,移除第一硬遮罩507之後可露出虛置閘極503。
如圖6所示,移除虛置閘極503與虛置閘極介電層501。圖6亦顯示一些實施例中,在線路釋放製程中移除第二層123。在一實施例中,一旦露出虛置閘極503,即可移除虛置閘極503以露出下方的虛置閘極介電層501。在一實施例中,移除虛置閘極503的方法可採用一或多道濕蝕刻製程或乾蝕刻製程,其採用的蝕刻劑對虛置閘極503的材料具有選擇性。然而可採用任何合適的移除製程。
一旦露出虛置閘極介電層501,即可移除虛置閘極介電層501。在一實施例中,移除虛置閘極介電層501的方法可採用濕蝕刻製程。然而可採用任何合適的蝕刻製程。
如圖6所示,一旦移除虛置閘極介電層501並露出第一層121的側部,即可由線路釋放製程步驟自第二層123之間移除第一層121。線路釋放製程步驟亦可視作片狀物釋放製程步驟、片狀物形成製程步驟、奈米片形成製程步驟、或線路形成製程步驟。在一實施例中,可採用濕蝕刻製程移除第一層121,其可選擇性地移除第一層121的材料(如矽鍺),而不明顯移除鰭狀物105與第二層123的材料(如矽)。然而可採用任何合適的移除製程。
舉例來說,一實施例採用的蝕刻劑如高溫氯化氫可選擇性移除第一層121的材料(如矽鍺)而實質上不移除鰭狀物105及/或第二層123的材料(如矽)。此外,濕蝕刻製程的溫度可介於約400℃至約600℃之間,而時間可介於約100秒至約600秒之間。然而可採用任何合適的蝕刻劑、製程參數、與時間。
藉由移除第一層121的材料,可露出第二層123的側部(再標示為圖6中的奈米結構601)。奈米結構601可配置於奈米結構堆疊603中,並彼此隔有第一層121的凹陷中視情況形成的內側間隔物(如上所述)。視情況形成的內側間隔物可避免奈米結構在線路釋放製程時碎裂。在一些實施例中,奈米結構601隔有第一空間S1,其介於約3 nm至約20 nm之間。奈米結構601包括通道區,其延伸於源極/汲極區901之間並形成於奈米結構601的遠端。奈米結構601的通道長度介於約5 nm至約180 nm之間。在一實施例中,奈米結構601的厚度與第二層123的初始厚度相同,比如介於約3 nm至約15 nm之間。然而亦可採用蝕刻製程減少第二層123的厚度。
如圖7A及7B所示的一些實施例,第一界面處理700可形成第一閘極介電層707於奈米結構601與鰭狀物105的露出表面上,並調整其界面。一些實施例在第一界面處理700時,可由保護材料701 (如光阻)保護裝置區120。一旦保護裝置區120,即可在第一多通道裝置區130與第二多通道裝置區140上進行第一界面處理700。在一些實施例中,第一界面處理700包括選擇性調整處理,之後進行濕式清潔處理並沉積閘極介電材料。
第一界面處理700可用於控制最頂部通道703與奈米結構堆疊603的保留通道705之第一閘極介電層707的形成與厚度。在一些實施例中,選擇性調整處理採用乾蝕刻製程如電漿蝕刻、遠端電漿蝕刻、化學蝕刻、上述之組合、或類似製程。採用第一前驅物(如鈍化氣體)與第二前驅物(如稀釋氣體)進行選擇性調整處理,以選擇性調整奈米結構堆疊603中的奈米結構601。第一前驅物可包含但不限於氣體如氮氣、氧氣、二氧化碳、二氧化硫、一氧化碳、四氯化矽、氫氣、上述之組合、或類似物。第二前驅物包含但不限於鈍氣如氬氣、氦氣、氖氣、上述之組合、或類似物。選擇性調整處理採用的電漿源功率介於約10瓦至約3000瓦之間,而電漿偏功率介於約0瓦至約3000瓦之間。在一些實施例中,選擇性調整處理的製程壓力可介於約1 mTorr至約10 Torr之間。此外,一些實施例的選擇性調整處理的製程流速可介於約1 sccm至約5000 sccm之間。
在另一實施例中,第一界面處理700為濕蝕刻製程如濕式清潔製程,其可取代或搭配上述的乾蝕刻製程。在一些實施例中,濕蝕刻製程可採用蝕刻輔助劑與溶劑於製程(如浸入製程、噴灑製程、旋轉塗佈製程、或類似製程)中。蝕刻輔助劑可用於輔助選擇性地調整第一閘極介電層707的厚度。在一些實施例中,蝕刻輔助劑包括但不限於化學劑如硫酸、臭氧、氨、上述之組合、或類似物。在濕式清潔處理時,溶劑用於輸送蝕刻輔助劑。在一些實施例中,溶劑包含但不限於去離子水、醇類、丙酮、上述之組合、或類似物。
一些實施例一旦進行濕式清潔製程,即可進行閘極介電沉積處理。閘極介電沉積處理可採用材料如氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、二氧化矽、上述之組合,以形成第一閘極介電層707於奈米結構601的表面上與奈米結構堆疊603的鰭狀物105上。在一些實施例中,閘極介電沉積處理的方法可採用沉積製程如化學氣相沉積、原子層沉積、上述之組合、或類似製程。
圖7B係第一實施例中,形成於第一多通道裝置區130與第二多通道裝置區140中的奈米結構堆疊603上的第一閘極介電層707之放大圖。在第一實施例中,可簡單暴露結構至含氧環境如大氣,以形成第一閘極介電層707如原生氧化物層。在其他實施例中,第一閘極介電層707的形成方法可採用一或多種沉積製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、上述之組合、或類似製程。
此外,控制第一界面處理700的沉積循環次數及/或每一沉積循環的時間,可進行非順應性的處理。如此一來,最頂部通道703的界面之第一閘極介電層707具有第一厚度Th1,保留通道705的界面之第一閘極介電層707具有第二厚度Th2,而第一厚度Th1大於第二厚度Th2。可在單一沉積循環或多個沉積循環中進行第一界面處理700。在一些實施例中,第一界面處理700所用的沉積循環的數目可介於約1次至約500次。在一些實施例中,每一次沉積循環的時間可介於約0.2秒至約100秒之間。沉積循環的次數與每次沉積循環的時間亦取決於採用的腔室體積。舉例來說,與體積較大的腔室中達到沉積穩定態所需的時間(比如介於約10秒至約100秒之間)相較,體積較小的腔室中達到沉積穩定態的時間較快(比如小於約10秒)。在一些實施例中,第一閘極介電層707的第一厚度Th1介於約3 Å至約60 Å之間。此外,一些實施例中的第二厚度Th2可介於約3 Å至約50 Å之間。
一旦完成第一界面處理700,可自裝置區120上移除保護材料701。在一些實施例中,可由合適的移除製程移除保護材料701。舉例來說,在保護材料701為光阻的實施例中,可採用灰化技術與清潔製程移除保護材料701。然而可採用任何合適的移除製程。
如圖8所示,形成積體電路裝置800的第二閘極介電層803與閘極805。圖8亦顯示積體電路裝置800的部分807。在一些實施例中,形成第二閘極介電層803於裝置區120中的鰭狀物105上的方法,可先提供保護層於第一多通道裝置區130與第二多通道裝置區140上。在一些實施例中,可採用適於形成上述保護材料701的任何材料與製程,以形成保護層。然而亦可採用任何其他合適的材料與製程以形成保護層。
一旦保護第一多通道裝置區130與第二多通道裝置區140,可形成第二閘極介電層803於裝置區120的鰭狀物105上。可採用形成第一閘極介電層707的任何材料與製程(如沉積製程),以形成第二閘極介電層803。在一些實施例中,第二閘極介電層與第一閘極介電層707可具有相同的材料組成。在其他實施例中,第二閘極介電層803的材料與第一閘極介電層707的材料不同。
在一實施例中,順應性地沉積第二閘極介電層803於裝置區120的鰭狀物105上,比如鰭狀物105的上表面與側壁上。第二閘極介電層803亦可形成於層間介電層907的上表面上,如圖9所示。在一些實施例中,第二閘極介電層803包括氧化矽、氮化矽、或上述之多層。在一些實施例中,第二閘極介電層803包括高介電常數(比如介電常數大於7.0)的介電材料,其可包含鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛、或上述之組合的金屬氧化物或矽酸鹽。形成第二閘極介電層803的方法可包含原子層沉積、電漿輔助化學氣相沉積、分子束沉積、或類似方法。然而可採用任何合適材料與形成方法,以形成第二閘極介電層803。然而第二閘極介電層803可採用任何合適材料與形成方法。在一些實施例中,裝置區120中的鰭狀物105上的第二閘極介電層803可具有第三厚度Th3。在一些實施例中,第一厚度Th1介於約0 Å至約80 Å之間。然而第二閘極介電層803可採用任何合適厚度。一旦形成第二閘極介電層803,即可自第一多通道裝置區130與第二多通道裝置區140上移除保護層。
閘極805形成於裝置區120的第二閘極介電層803上,並形成於第一多通道裝置區130與第二多通道裝置區140的第一閘極介電層707上並圍繞第一閘極介電層707。閘極805可包括含金屬材料如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、了、鋁、鎢、上述之組合、或上述之多層。舉例來說,雖然圖8及9的閘極805為單層,但閘極805可含任何數目的襯墊層、任何數目的功函數調整層、及/或填充材料。在沉積閘極805的材料之後,可進行平坦化製程如化學機械研磨以移除層間介電層906的上表面之上的第二閘極介電層803、第一閘極介電層707、與閘極805的多餘部分。在一些實施例中,閘極805的第一高度H1可介於約20 nm至約280 nm之間。然而閘極805可採用任何合適高度。
因此在一些實施例中,閘極805、第一閘極介電層707、與第二閘極介電層803可一起形成積體電路裝置800的最終主動構件(如鰭狀場效電晶體裝置或類似物)與最終多通道裝置(如奈米片場效電晶體裝置、全繞式閘極場效電晶體裝置、或類似裝置)的單一置換閘極(如共用閘極)。閘極805、第一閘極介電層707、與第二閘極介電層803可一起視作共用閘極堆疊809。
圖8更顯示含有第一關鍵尺寸CD1 的共用閘極堆疊809,其位於裝置區120中的鰭狀物105上。在一些實施例中,第一關鍵尺寸CD1 的距離可介於約10 Å至約500 Å之間。
如圖8所示,位於第一多通道裝置區130中的最頂部通道703上的共用閘極堆疊809具有第二關鍵尺寸CD2 ,而位於第二多通道裝置區140中的最頂部通道703上的共用閘極堆疊809具有第三關鍵尺寸CD3 。第二關鍵尺寸CD2 與第二關鍵尺寸CD3 可大於第一關鍵尺寸CD1 。在一些實施例中,第二關鍵尺寸CD2 與第三關鍵尺寸CD3 可各自介於約15 Å至約500 Å之間。在一些實施例中,第三關鍵尺寸CD3 與第二關鍵尺寸CD2 可大致相同,但亦可不同。
圖9係一些實施例中,積體電路裝置800的部分807之透視圖。在具體實施例中,圖9顯示裝置區120的鰭狀物105與第一多通道裝置區130的鰭狀物105隔有隔離區401。圖9更顯示第一閘極介電層707、第二閘極介電層803、與第一閘極介電層707與第二閘極介電層803上的閘極805。圖9亦顯示一個源極/汲極區901、閘極間隔物905、接點蝕刻停止層903、與隔離區401上的層間介電層907,且區隔積體電路裝置800中的閘極805之第一例與第二例。
圖10係一些實施例中,閘極介電沉積製程1000的圖式。閘極介電沉積製程1000可與第一界面處理700結合或分開,以形成外側閘極介電層1001於最頂部通道703的第一閘極介電層707上並圍繞第一閘極介電層707。如此一來,可形成複合的閘極介電層1003以圍繞最頂部通道703之奈米結構601。
在一些實施例中,外側閘極介電層1001的組成可採用氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、上述之組合、或類似物。然而可採用任何合適材料。外側閘極介電層1001可形成於第一閘極介電層707上以達第四厚度Th4,其可採用沉積方法如化學氣相沉積、原子層沉積、上述之組合、或類似方法。在一些實施例中,第四厚度Th4介於約3 Å至約50 Å之間。在一些實施例中,第一閘極介電層707的第一厚度Th1與外側閘極介電層1001的第四厚度Th4的總和,可介於約6 Å至約110 Å之間。然而外側閘極介電層1001可採用任何合適的沉積方法與厚度。
圖11A顯示的剖視圖中,一些其他實施例的積體電路裝置800包括兩個切割金屬閘極結構1101。切割金屬閘極結構1101可將共用閘極堆疊809分成多個隔離的閘極堆疊結構,其含有裝置區120的第一隔離的閘極堆疊1103、第一多通道裝置區130的第二隔離的閘極堆疊1105、與第二多通道裝置區140的第三隔離的閘極堆疊1107。雖然圖11A顯示兩個切割金屬閘極結構1101,但可形成任何合適數目的切割金屬閘極結構1101,以將共用閘極堆疊809分成任何合適數目或任何合適組合的隔離的閘極結構及/或共用閘極結構。舉例來說,可形成切割金屬閘極結構1101於裝置區120與第一多通道裝置區130之間的界面,以將共用閘極堆疊809分成裝置區120的第一隔離的閘極堆疊1103以及第一多通道裝置區130與第二多通道裝置區140上的共用閘極堆疊結構。在另一例中,可形成切割金屬閘極結構1101於第一多通道裝置區130與第二多通道裝置區140之間的界面,以將共用閘極堆疊809分成第二多通道裝置區140之第三隔離的閘極堆疊1107以及裝置區120與第一多通道裝置區130上的共用閘極堆疊結構。圖11A亦顯示積體電路裝置800的第二部分1109,其以虛線強調並包含切割金屬閘極結構1101於裝置區120與第一多通道裝置區130之間的界面。
圖11B顯示圖11A的積體電路裝置800的第二部分1109的透視圖。具體而言,圖11B顯示一些實施例中,位於裝置區120與第一多通道裝置區130之間的界面之切割金屬閘極結構1101。
切割金屬閘極結構1101的形成方法,可為先沉積遮罩層於閘極805、層間介電層907、接點蝕刻停止層903、與閘極間隔物905的平坦表面上。一旦沉積遮罩層即可圖案化遮罩層,以露出即將形成切割金屬閘極結構1101的所需位置中的下方材料。
一旦圖案化遮罩層即作為蝕刻遮罩,可蝕刻下方材料以形成第二開口(如溝槽、凹陷、通道、或類似物)於切割金屬閘極結構1101的所需位置中 (比如裝置區120與第一多通道裝置區130之間的界面)。在蝕刻製程中,採用一或多道非等向蝕刻製程蝕刻閘極805、閘極間隔物905、接點蝕刻停止層903、及/或層間介電層907的材料,其可止於第一閘極介電層707、第二閘極介電層803、或隔離區401的上表面上。在一些實施例中,在相鄰裝置(如裝置區120與第一多通道裝置區130)的源極/汲極區901之間,蝕刻製程可持續蝕刻第二開口至隔離區401中但不穿過隔離區401。其他實施例在相鄰裝置的源極/汲極區901之間,可持續蝕刻製程以蝕刻第二開口穿過隔離區401至基板101中。第二開口可切穿一或多個閘極805。在一些實施例中,第二開口可將閘極間隔物905與層間介電層907,分成兩個相鄰裝置(如裝置區120與第一多通道裝置區130)的兩個分開的閘極間隔物905與兩個分開的層間介電層907。一旦形成第二開口,即可移除遮罩層。
一旦形成第二開口,可先沉積介電材料1111以填入並超填第二開口,以形成切割金屬閘極結構1101。在一些實施例中,切割金屬閘極結構1101的組成可採用介電材料如氮化矽、氧化物、氮氧化矽、碳氮氧化矽、碳氮化矽、或類似物。在一些實施例中,切割金屬閘極結構1101的組成可採用金屬氧化物如鋯、鉿、鋁、或類似物的氧化物。此外,切割金屬閘極結構1101的形成方法可採用合適的沉積製程如化學氣相沉積、原子層沉積、物理氣相沉積、上述之組合、或類似方法。然而可採用任何合適材料與沉積製程。在一些實施例中,切割金屬閘極結構1101的第四寬度W4 介於約5 nm至約50 nm之間。然而可採用任何合適寬度。
切割金屬閘極結構可將較長的共用閘極堆疊809分成較短的多個閘極,並使多個閘極彼此隔離。此外,可採用化學機械研磨等製程以平坦化第二開口之外的切割金屬閘極結構1101的多餘介電材料、閘極805、閘極間隔物905、接點蝕刻停止層903、與層間介電層907。
在一些其他實施例中,可視情況形成切割虛置閘極結構(未圖示),以取代或搭配切割金屬閘極結構1101。在一些實施例中,可採用位於一或多個裝置區120、第一多通道裝置區130、與第二多通道裝置區140之間的虛置鰭狀物(未圖示),視情況形成切割虛置閘極結構。在其他實施例中,視情況形成切割虛置閘極結構的形成方法可不採用虛置鰭狀物。
如圖1及2所示的一些實施例,視情況形成的切割虛置閘極結構的形成方法,可為先形成犧牲鰭狀物(未圖示)於一或多個裝置區120、第一多通道裝置區130、與第二多通道裝置區140之間。犧牲鰭狀物的形成方法可與裝置區120中的鰭狀物105、第一多通道裝置區130中的多層堆疊119與鰭狀物105、第二多通道裝置區140中的多層堆疊119與鰭狀物105、上述之組合、或類似物的形成方法類似。
如圖2所示,一旦形成犧牲鰭狀物(未圖示),即可沉積介電材料107於犧牲鰭狀物上,並平坦化介電材料107、犧牲鰭狀物、裝置區120中的鰭狀物105、第一多通道裝置區130中的多層堆疊119與鰭狀物105、與第二多通道裝置區140中的多層堆疊119與鰭狀物105,如類似的上述製程。一旦形成介電材料107,即可移除犧牲鰭狀物(未圖示)並置換為虛置鰭狀物(亦未圖示)。在這些實施例中,採用一或多道可接受的蝕刻製程移除犧牲鰭狀物的至少一部分。因此可形成開口於一或多個裝置區120、第一多通道裝置區130、及/或第二多通道裝置區140之間的介電材料107中。在一些實施例中,可完全移除犧牲鰭狀物。在其他實施例中,可保留犧牲鰭狀物的一部分於開口底部並暴露於開口中。一旦後續製程移除犧牲鰭狀物,即可形成虛置鰭狀物於開口中。
在一些實施例中,虛置鰭狀物可包含一或多層的矽為主材料(比如氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、二氧化矽、或類似物)、金屬為主的材料(如金屬氧化物、金屬氮化物、或類似物如氮化鉭、氧化鉭、氧化鉿、或類似物)、及/或類似物。虛置鰭狀物可為單一材料或多層材料(其可垂直及/或水平堆疊)。在一些實施例中,虛置鰭狀物的寬度可為約5 Å至約500 Å。
虛置鰭狀物的形成方法可採用一或多個沉積製程,比如化學氣相沉積、電漿輔助化學氣相沉積、電漿輔助原子層沉積、原子層沉積、物理氣相沉積、或類似製程。在虛置鰭狀物包括多個材料層的實施例中,形成虛置鰭狀物的方法在沉積虛置鰭狀物的額外材料之前,亦可包括一或多道回蝕刻及/或平坦化步驟。此外,可沉積虛置鰭狀物以先覆蓋隔離材料,且可採用平坦化、回蝕刻、或類似製程移除虛置鰭狀物的多餘部分並露出介電材料107。
如圖2所示,其他方法可不需先形成犧牲鰭狀物,即可形成虛置鰭狀物。在其他實施例中,可採用順應性製程沉積介電材料107,只部分填滿裝置區120的鰭狀物105、第一多通道裝置區130的鰭狀物105、及/或第二多通道裝置區140的鰭狀物105之間的空間。順應性沉積製程可定義開口於介電材料107上的部分填滿的空間中。形成虛置鰭狀物的一或多種材料與製程可用於之後填入定義在部分填滿的空間中的開口,並平坦化虛置鰭狀物與介電材料107。如此一來,虛置鰭狀物可位於裝置區120的鰭狀物105、第一多通道裝置區130的鰭狀物105、及/或第二多通道裝置區140的鰭狀物105之間,且虛置鰭狀物可埋置於介電材料107中。舉例來說,介電材料107可接觸虛置鰭狀物的下表面與側壁。
如圖3及4所示,一旦採用上述方法形成虛置鰭狀物(未圖示),即可回蝕刻介電材料107以定義淺溝槽隔離區401。如此一來,可露出高於淺溝槽隔離區401的虛置鰭狀物、裝置區120的鰭狀物105、第一多通道裝置區130的鰭狀物105、及/或第二多通道裝置區140的鰭狀物105。回蝕刻介電材料107所採用的方法,可與搭配圖4說明的上述製程與一或多種前驅物類似,且一或多種前驅物對介電材料107較具選擇性而對虛置鰭狀物的材料較不具選擇性。綜上所述,其他實施例可完成虛置鰭狀物的形成方法。
如圖5所示之含有虛置鰭狀物(未圖示)的實施例,除了形成虛置閘極介電層501於裝置區120、第一多通道裝置區130、及/或第二多通道裝置區140的鰭狀物105上,還可形成虛置閘極介電層501於虛置鰭狀物的露出表面上。一旦形成虛置閘極介電層501,即可形成虛置閘極503、第一硬遮罩507、與第二硬遮罩509於虛置閘極介電層501上,並圖案化成虛置閘極堆疊505,如上所述。此外,一旦形成虛置閘極堆疊505,可沿著虛置閘極堆疊505的側壁圖案化多個閘極間隔物905 (如圖9所示),如上所述。一旦圖案化閘極間隔物905,可形成源極/汲極區901、接點蝕刻停止層903、與層間介電層907,並平坦化上述結構與虛置閘極503,如上所述。
如圖5所示之包括虛置鰭狀物(未圖示)的實施例,可形成開口(亦未圖示)於虛置閘極503中。在一些實施例中,開口可對準虛置鰭狀物並直接位於虛置鰭狀物上。舉例來說,光微影與蝕刻的組合可形成開口於虛置閘極503中。開口可露出閘極間隔物905的側壁。此外,可調整形成開口所用的蝕刻製程之一或多個參數(如蝕刻時間或類似參數),以控制開口延伸至虛置閘極503中的深度。
在一些實施例中,蝕刻開口於虛置閘極503中的方法包括電漿製程如電漿蝕刻、遠端電漿製程、自由基蝕刻、或類似製程。電漿製程時採用的蝕刻氣體可包含氯氣、溴化氫、四氟化碳、氟仿、二氟甲烷、氟化甲烷、六氟丁二烯、三氯化硼、六氟化硫、氫氣、上述之組合、或類似物。電漿製程亦包含使鈍化氣體流向結構100上,以調整(如增加)虛置閘極503與結構100的其他結構之間的蝕刻選擇性。鈍化氣體的實施例可包含氮氣、氧氣、二氧化碳、二氧化硫、一氧化碳、四氯化矽、上述之組合、或類似物。在電漿製程時可採用一或多種載氣,比如氬氣、氦氣、氖氣、上述之組合、或類似物。此外,電漿製程的電漿源功率可為約10瓦至約3000瓦,偏功率可為約0瓦至約3000瓦,壓力可為約1 mTorr至約800 mTorr,且氣體混合物流速可為約10 sccm至約5000 sccm。
在一些實施例中,蝕刻虛置閘極503中的開口的步驟包括濕蝕刻製程(有時視作濕式清潔)。濕蝕刻製程時採用的蝕刻劑之實施例可包含氫氟酸、氟氣、上述之組合、或類似物。濕蝕刻製程可進一步流入輔助蝕刻化學劑至結構100上,以調整(如增加)虛置閘極503與結構100的其他結構之間的蝕刻選擇性。輔助蝕刻化學劑的實施例可包含化學劑如硫酸、氯化氫、溴化氫、氨、上述之組合、或類似物。去離子水、醇類、丙酮、或類似物在濕蝕刻製程時,可作為溶劑以混合蝕刻劑及/或輔助蝕刻化學劑。
在一些實施例中,施加處理製程至結構100,比如虛置閘極503中的開口側壁與下表面。處理製程可形成鈍化區(未圖示)於虛置閘極503中的開口側壁與下表面上。在一些實施例中,亦可形成鈍化區於閘極間隔物905中。雖然未圖示於此,處理製程可進一步形成鈍化區於結構100的其他露出表面中,比如虛置閘極503的上表面與層間介電層907的上表面。後續製程步驟中可移除這些鈍化區,以成形虛置閘極503中的開口輪廓。
處理製程可為轉換製程,其可轉換虛置閘極503的露出部分成鈍化區,並轉換閘極間隔物905的露出部分成鈍化區。可原位(在相同製程腔室中)或異地(在不同製程腔室中)進行蝕刻製程的處理製程,以形成開口於虛置閘極503中。每一鈍化區的個別厚度可為約2 Å至約300 Å。
在一些實施例中,處理製程包括電漿製程如電漿佈植或類似製程。電漿製程時採用的鈍化氣體可包含氮氣、氧氣、二氧化碳、二氧化硫、一氧化碳、上述之組合、或類似物。電漿製程可進一步使額外氣體如探為主的氣體(如甲烷)、矽為主的氣體(如四氯化矽)、上述之組合、或類似物流入,以幫助形成鈍化區。此外,電漿製成的電將源功率可為約10瓦至約3000瓦,偏功率為約0瓦至約3000瓦,壓力為約1 mTorr至約800 mTorr,而氣體混合物流速為約10 sccm至約5000 sccm。
在一些實施例中,處理製程為非電漿的乾式化學處理,其採用處理氣體如氫氟酸、三氟化氮、甲烷、上述之組合、或類似物。在一些實施例中,處理製程為濕式處理製程,其採用的溶液包含去離子水、臭氧、二氧化碳、氫氟酸、氯化氫、氨、上述之組合、或類似物。在一些實施例中,處理製程可為與虛置閘極503與閘極間隔物905的現有材料反應的沉積製程,且亦沉積介電材料(比如氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、氧化矽、碳化矽、上述之組合、或類似物)於虛置閘極503與閘極間隔物905中的開口表面上。在這些實施例中,鈍化區包括虛置閘極503的反應區、閘極間隔物905的反應區、與沉積的介電材料。沉積製程的實施例可包含原子層沉積製程、化學氣相沉積製程、上述之組合、或類似物。
由於虛置閘極503的材料與閘極間隔物905的材料不同,虛置閘極503的鈍化區與閘極間隔物905的鈍化區的材料組成可不同。舉例來說,在處理製程採用氮且閘極間隔物905含氧的實施例中,虛置閘極503中的鈍化區可包含矽與氮,而閘極間隔物905中的鈍化區可包含矽、氧、與氮。在另一例中,處理製程採用氧而閘極間隔物905包含氮,則虛置閘極503中的鈍化區可包含矽與氧,而閘極間隔物905中的鈍化區可含矽、氧、與氮。在另一例中,處理製程採用氮而閘極間隔物905含氮,則虛置閘極503中的鈍化區氮濃度可低於閘極間隔物905中的鈍化區氮濃度。在另一例中,處理製程採用氧而閘極間隔物905包含氧,則虛置閘極503中的鈍化區氧濃度可低於閘極間隔物905中的鈍化區氧濃度。綜上所述,處理製程形成兩個不同的鈍化區,比如虛置閘極503中的鈍化區與閘極間隔物905中的另一鈍化區,且兩個不同鈍化區之間可達蝕刻選擇性。閘極間隔物905中的鈍化區與閘極間隔物905的其餘部分亦可達蝕刻選擇性。
一旦形成開口於虛置閘極503中,及/或形成鈍化區於虛置閘極503與閘極間隔物905中,即可對虛置閘極503進行額外蝕刻製程,其可使開口進一步朝虛置鰭狀物(若存在)與半導體基板101延伸。在一些實施例中,可延伸虛置閘極503中的開口以露出虛置鰭狀物(若存在)或半導體的基板101 (若虛置鰭狀物不存在)。
蝕刻製程對閘極間隔物905中的鈍化區材料與閘極間隔物905的材料之間具有選擇性,使蝕刻製程可移除閘極間隔物905中的鈍化區,而實質上不移除閘極間隔物905的其餘材料。舉例來說,蝕刻製程移除閘極間隔物905中的鈍化區材料之速率,大於移除閘極間隔物905的其餘材料之速率。如此一來,虛置閘極503中的開口之上側部分寬度,可大於虛置閘極503中的開口之下側部分寬度。
此外,蝕刻製程可為方向性製程,其可自虛置閘極503中的開口的下表面移除鈍化區,而不沿著開口側壁明顯移除鈍化區。在一些實施例中,蝕刻製程對虛置閘極503中的鈍化區材料與閘極間隔物905中的鈍化區材料之間可具選擇性。如此一來,可移除沿著開口側壁的閘極間隔物905中的鈍化區材料,而不明顯移除沿著開口側壁的虛置閘極503中的鈍化區材料。如此一來,可成形虛置閘極503中的開口輪廓,使開口擴展並維持虛置閘極503 (以及對應的置換金屬閘極)的有效閘極寬度,進而改善最終裝置的裝置效能。
在一些實施例中,移除閘極間隔物905中的鈍化區並擴展虛置閘極503中的開口之方法,可包含電漿製程如電漿蝕刻、遠端電漿製程、自由基蝕刻、或類似方法。電漿製程時使用的蝕刻氣體可包含氯氣、溴化氫、四氟化碳、氟仿、二氟化碳、氟化甲烷、六氟丁二烯、三氯化硼、六氟化硫、氫氣、上述之組合、或類似物。電漿製程亦可包含使鈍化氣體流向結構100上,以調整(如增加)虛置閘極503與結構100的其他結構之間的蝕刻選擇性。鈍化氣體的實施例可包含氮氣、氧氣、二氧化碳、二氧化硫、一氧化碳、四氯化矽、上述之組合、或類似物。在電漿製程時亦可採用一或多種載氣如氬氣、氦氣、氖氣、上述之組合、或類似物。此外,電漿製程的電漿源功率可為約10瓦至3000瓦,偏功率為約0瓦至約3000瓦,壓力為約1 mTorr至約800 mTorr,而氣體混合物的流速為約10 sccm至約5000 sccm。
在一些實施例中,移除閘極間隔物905中的鈍化區並擴展虛置閘極503中的開口的方法,可包含濕蝕刻製程(有時視作濕式清潔)。濕蝕刻製程時採用的蝕刻劑實施例可包含氫氟酸、氟氣、上述之組合、或類似物。濕蝕刻製程可進一步使輔助的蝕刻化學劑流向結構100上,以調整(如增加)虛置閘極503與結構100的其他結構之間的蝕刻選擇性。輔助蝕刻化學劑的實施例可包含硫酸、氯化氫、溴化氫、氨、上述之組合、或類似物。可採用去離子水、醇類、丙酮、或類似物作為溶劑,以在濕蝕刻製程時與蝕刻劑及/或輔助蝕刻化學劑混合。
雖然上述說明施加一次處理與蝕刻的循環至虛置閘極503中的開口,以露出虛置鰭狀物(若存在)或基板101 (若不存在虛置鰭狀物),但可進行多次處理與蝕刻的循環。舉例來說,可重複任意次數的上述步驟,直到露出虛置鰭狀物或基板101,且虛置閘極503中的開口具有所需輪廓。藉由重複上述處理製程與蝕刻製程,可達原子層蝕刻製程以形成上側部分擴展之開口於虛置閘極503中。
一旦形成開口於虛置閘極503中,即可將介電材料填入開口。介電材料的沉積方法可為物理氣相沉積、化學氣相沉積、原子層沉積、電漿輔助化學氣相沉積、或類似方法。可先沉積介電材料以超填開口,並覆蓋虛置閘極503與層間介電層907的上表面。之後可進行平坦化製程如化學機械研磨或類似製程,以自虛置閘極503與層間介電層907上移除多餘介電材料。
在含有虛置鰭狀物的實施例中,介電材料與虛置鰭狀物結合以將虛置閘極503分成不同的裝置區(比如裝置區120、第一多通道裝置區130、及/或第二多通道裝置區140)。如此一來,分開虛置閘極503的虛置鰭狀物與介電材料的組合,在此處可視作視情況形成的切割虛置閘極結構。
在不含虛置鰭狀物的實施例中,虛置閘極503中的開口可穿過整個虛置閘極503以露出開口中的隔離區401。在其他實施例中,開口可進一步延伸至隔離區401中,甚至可延伸穿過隔離區401以露出基板101及/或延伸至基板101中。在這些實施例中,一旦將介電材料填入開口,則介電材料可單獨將虛置閘極503分成不同區域。如此一來,將虛置閘極503分開的介電材料在此處亦可視作視情況形成的切割虛置閘極結構。舉例來說,視情況形成的切割虛置閘極結構可位於裝置區120、第一多通道裝置區130、與第二多通道裝置區140之間的一或多個界面。如此一來,視情況形成的切割虛置閘極結構可提供隔離於相鄰的主動構件之間。
如圖6、7A、及7B所示,一旦視情況形成切割虛置閘極結構(未圖示)以穿過虛置閘極503,即可由與前述移除虛置閘極503的類似方式移除虛置閘極503的其餘部分,而不移除視情況形成的切割虛置閘極結構。如此一來,虛置閘極介電層501與視情況形成的切割虛置閘極結構暴露於閘極間隔物905之間。一旦露出虛置閘極介電層501,即可移除虛置閘極介電層501並置換為第一介電層707、第二閘極介電層803、及/或外側閘極介電層1001,如搭配圖7A、7B、及10說明的上述內容。
一旦置換虛置閘極介電層501,即可形成金屬的閘極805於閘極間隔物905之間的開口中,以及視情況形成的切割虛置閘極結構、裝置區120的鰭狀物105、第一多通道裝置區130的鰭狀物105、與第二多通道裝置區140的鰭狀物105上,其與圖8中形成金屬的閘極805的上述方法類似。在含有視情況形成的切割虛置閘極結構的實施例中,可採用化學機械研磨技術以平坦化金屬的閘極805的材料、視情況形成的切割虛置閘極結構、接點蝕刻停止層903、閘極間隔物905、與層間介電層907。如此一來,視情況形成的切割虛置閘極結構與圖11A及11B所示的切割金屬閘極結構類似,可位於相鄰的主動構件的閘極805之間並隔離閘極805。
圖12顯示其他實施例的積體電路裝置800。具體而言,圖12顯示在對第一多通道裝置區130與第二多通道裝置區140的通道區進行非順應性處理的相同步驟中,形成第一閘極介電層707於裝置區120的鰭狀物105上。如此一來,不先保護裝置區120即可進行第一界面處理700。此外,可採用非順應性處理進行第一界面處理700,使第一閘極介電層707在裝置區120中的鰭狀物105上,且在第一多通道裝置區130與第二多通道裝置區140的鰭狀物105與奈米結構601之界面具有漸變厚度。
在一些實施例中,可採用第一界面處理700形成漸變厚度的第一閘極介電層707。藉由控制第一界面處理700的每一沉積循環,可在最遠離隔離區401的界面形成厚度較大的第一閘極介電層707,並在較靠近隔離區401的界面形成厚度較小的第一閘極介電層707。
在一些實施例中,第一閘極介電層707在裝置區120的鰭狀物105之頂部的界面,以及在第一多通道裝置區130與第二多通道裝置區140的最頂部通道703之奈米結構601的界面,可具有第一厚度Th1。此外,第一閘極介電層707在裝置區120中的鰭狀物105之中間部分的界面,以及在奈米結構堆疊603的保留通道705之奈米結構601的界面可具有第二厚度Th2。在一些實施例中,第一閘極介電層707在裝置區120的鰭狀物105之底部的界面,以及在第一多通道裝置區130及第二多通道裝置區140中的鰭狀物105的界面可具有第五厚度Th5,且第五厚度Th5可小於第二厚度Th2。在一些實施例中,第五厚度Th5可介於約3 Å至約45 Å之間。
在一些實施例中,可沉積外側閘極介電層1001 (如圖10所示)於第一多通道裝置區130及/或第二多通道裝置區140的最頂部通道703之奈米結構601的界面,如圖12所示。在一些實施例中,外側閘極介電層1001的形成方法可為先形成保護材料701 (如光阻)於裝置區120的鰭狀物105與第一閘極介電層707上。一旦保護裝置區120,可採用上述材料與製程形成外側閘極介電層1001。在形成外側閘極介電層1001之後,可移除保護材料701,且可採用上述材料與製程形成共用閘極堆疊809。在一些其他實施例中,可形成一或多個切割金屬閘極結構1101穿過圖12的共用閘極堆疊809,以將共用閘極堆疊809分成一或多個隔離的閘極結構及/或一或多個共用閘極結構,如圖11A及11B所示。此外,圖12所示的第一閘極介電層707的任何實施例,可用於含有視情況形成的切割虛置閘極結構的任何實施例,如上所述。
此處揭露的實施例可提供一或多個下述優點至積體電路裝置800的半導體裝置。舉例來說,當共用閘極堆疊809的第一關鍵尺寸CD1 為至少10 Å,可避免裝置區120中的裝置產生高漏電流。此外,共用閘極堆疊809的第二關鍵尺寸CD2 小於或等於約500 Å,可使第一多通道裝置區130及/或第二多通道裝置區140中的多通道裝置的裝置效能有效,而不會使裝置效能變得飽和。此外,圍繞最頂部通道703的第一閘極介電層707的第一厚度Th1小於或等於約50 Å,可避免多通道裝置的操作電壓過高。此外,圍繞保留通道705的第一閘極介電層707的第二厚度Th2為至少約3 Å,可使多通道裝置的操作電壓不會過低。
在含有外側閘極介電層1001的實施例中,外側閘極介電層1001新增的第四厚度Th4可使最頂部通道703的厚度與多通道裝置區之保留通道705的厚度之間的差異,更容易控制在所需的厚度範圍內。如此一來,易於控制所需的第二關鍵尺寸CD2 以及多通道裝置所需的裝置效能之效率及/或操作電壓,如上所述。
此外,藉由形成小於或等於約50 Å的第四厚度Th4,可避免多通道裝置的操作電壓過高。藉由形成至少約3 Å的第四厚度Th4,多通道裝置的操作電壓不會過低。如此一來,易於控制多通道裝置的操作。
在一些實施例中,半導體裝置的形成方法包括形成半導體鰭狀物於基板上;形成多通道鰭狀物於基板上,且多通道鰭狀物包括犧牲材料;自多通道鰭狀物移除犧牲材料,而不自半導體鰭狀物移除材料;在移除犧牲材料之後,自多通道鰭狀物形成多個奈米結構的堆疊;以及形成閘極於奈米結構的堆疊與半導體鰭狀物上。在一些實施例中,犧牲材料的厚度介於約0.5 Å至約300 Å之間。在一些實施例中,方法更包括:形成第一厚度的第一介電層以圍繞奈米結構的堆疊之第一奈米結構;以及形成第二厚度的第一介電層以圍繞奈米結構的堆疊之第二奈米結構,且第二奈米結構為奈米結構堆疊的最頂部的奈米結構,而第二厚度大於第一厚度。在一實施例中,形成第一介電層的步驟包括對奈米結構的堆疊進行界面處理。在一實施例中,第一介電層為原生氧化物。在一實施例中,方法更包括形成第三厚度的第二介電層以圍繞第一介電層,且第一介電層圍繞最頂部的奈米結構,而第二介電層與原生氧化物不同。在一實施例中,第二厚度與第三厚度的總和小於或等於50 Å。
在另一實施例中,半導體裝置的形成方法包括:形成第一鰭狀物於基板上;形成第二鰭狀物於基板上,且第二鰭狀物包括半導體材料的堆疊以及犧牲層於多層堆疊上;移除犧牲層;在移除犧牲層之後,自多層堆疊形成奈米結構;以及形成閘極於第一鰭狀物與奈米結構上,而閘極的上表面與第一鰭狀物隔有第一距離,並與奈米結構的最頂部奈米結構隔有第二距離,且第二距離大於第一距離。在一實施例中,第一距離為至少10Å。在一實施例中,第二距離為頂多500 Å。在一實施例中,方法更包括形成第一介電層於奈米結構上,第一介電層在奈米結構的第一奈米結構的第一界面具有第一厚度,並在奈米結構的最頂部奈米結構的第二界面具有第二厚度,且第二厚度大於第一厚度。在一實施例中,形成第一介電層的步驟更包括採用第一選擇性處理。在一實施例中,方法更包括形成材料層以圍繞第一介電層。在一實施例中,第一介電層的第一厚度與材料層的厚度之總和介於約6 Å至約110 Å之間。
在又一實施例中,半導體裝置包括半導體鰭狀物,位於基板上;多通道裝置,位於基板上;第一閘極介電層,包含第一界面以圍繞多通道裝置的最頂部奈米結構,以及第二界面以圍繞多通道裝置的另一奈米結構,且第一閘極介電層在第一界面的第一厚度大於第一閘極介電層在第二界面的第二厚度;以及閘極,位於第一界面與第二界面處的第一閘極介電層上並圍繞第一界面與第二界面處的第一閘極介電層,且閘極在半導體鰭狀物上的第一高度小於閘極在最頂部奈米結構上的第二高度。在一實施例中,第一閘極介電層包括第一原生氧化物層於第一界面。在一實施例中,第一閘極介電層包括第二材料,且第二材料包括第三界面以圍繞第一原生氧化物層並與第一原生氧化物層相鄰。在一實施例中,第一高度為至少約10 Å。在一實施例中,第二高度小於約500 Å。在一實施例中,半導體鰭狀物為鰭狀場效電晶體的部分,而多通道裝置為奈米片場效電晶體。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
CD1 :第一關鍵尺寸 CD2 :第二關鍵尺寸 CD3 :第三關鍵尺寸 D1:第一深度 D2:第二距離 H1:第一高度 S1:第一空間 Th1:第一厚度 Th2:第二厚度 Th3:第三厚度 Th4:第四厚度 Th5:第五厚度 W1 :第一寬度 W2 :第二寬度 W3 :第三寬度 W4 :第四寬度 100:結構 101:基板 103:第一溝槽 105:鰭狀物 107,1111:介電材料 117:多通道裝置區 119:多層堆疊 120:裝置區 121:第一層 123:第二層 125:最頂層 130:第一多通道裝置區 140:第二多通道裝置區 301:凹陷 401:隔離區 501:虛置閘極介電層 503:虛置閘極 505:虛置閘極堆疊 507:第一硬遮罩 509:第二硬遮罩 601:奈米結構 603:奈米結構堆疊 700:第一界面處理 701:保護材料 703:最頂部通道 705:保留通道 707:第一閘極介電層 800:積體電路裝置 803:第二閘極介電層 805:閘極 807:部分 809:共用閘極堆疊 901:源極/汲極區 903:接點蝕刻停止層 905:閘極間隔物 907:層間介電層 1000:閘極介電沉積製程 1001:外側閘極介電層 1003:閘極介電層 1101:切割金屬閘極結構 1103:第一隔離的閘極堆疊 1105:第二隔離的閘極堆疊 1107:第三隔離的閘極堆疊 1109:第二部分
圖1係一些實施例中,形成積體電路裝置的中間步驟中的多層結構之透視圖。 圖2係一些實施例中,形成介電材料以準備形成隔離區的剖視圖。 圖3係一些實施例中,形成凹陷於多層堆疊中的剖視圖。 圖4係一些實施例中,形成隔離區的剖視圖。 圖5係一些實施例中,形成虛置閘極介電層與虛置閘極之後的中間結構的剖視圖。 圖6係一些實施例中,在線路釋放製程中移除虛置閘極、虛置閘極介電層、與多層堆疊的第二層之後的中間結構的剖視圖。 圖7A及7B係一些實施例中,在圖6的中間結構上進行第一界面處理的剖視圖。 圖8係一些實施例中,積體電路裝置的剖視圖。 圖9係一些實施例中,圖8所示的積體電路裝置的部分透視圖。 圖10係一些實施例中,在圖6的中間結構上進行第二界面處理的剖視圖。 圖11A係一些實施例中,含有切割金屬閘極結構的積體電路之剖視圖。 圖11B係一些實施例中,圖11A所示的積體電路的部分透視圖。 圖12係其他實施例中,積體電路的示意圖。
CD1 :第一關鍵尺寸
CD2 :第二關鍵尺寸
H1:第一高度
Th1:第一厚度
Th2:第二厚度
Th5:第五厚度
105:鰭狀物
120:裝置區
130:第一多通道裝置區
140:第二多通道裝置區
401:隔離區
601:奈米結構
703:最頂部通道
705:保留通道
707:第一閘極介電層
800:積體電路裝置
803:第二閘極介電層
805:閘極
807:部分
809:共用閘極堆疊

Claims (9)

  1. 一種半導體裝置的形成方法,包括:形成一半導體鰭狀物於一基板上;形成一多通道鰭狀物於該基板上,且該多通道鰭狀物包括一犧牲材料;自該多通道鰭狀物移除該犧牲材料,而不自該半導體鰭狀物移除材料;在移除該犧牲材料之後,自該多通道鰭狀物形成多個奈米結構的一堆疊;以及形成一閘極於該些奈米結構的該堆疊與該半導體鰭狀物上。
  2. 如請求項1之半導體裝置的形成方法,更包括:形成一第一厚度的一第一介電層以圍繞該些奈米結構的該堆疊之一第一奈米結構;以及形成一第二厚度的該第一介電層以圍繞該些奈米結構的該堆疊之一第二奈米結構,且該第二奈米結構為該些奈米結構的該堆疊的一最頂部的奈米結構,而該第二厚度大於該第一厚度。
  3. 如請求項2之半導體裝置的形成方法,其中形成該第一介電層的步驟包括對該些奈米結構的該堆疊進行一界面處理。
  4. 如請求項2之半導體裝置的形成方法,其中該第一介電層為一原生氧化物。
  5. 如請求項4之半導體裝置的形成方法,更包括形成一第三厚度的一第二介電層以圍繞該第一介電層,且該第一介電層圍繞該最頂部的奈米結構,而該第二介電層與該原生氧化物不同。
  6. 一種半導體裝置的形成方法,包括: 形成一第一鰭狀物於一基板上;形成一第二鰭狀物於該基板上,且該第二鰭狀物包括多個半導體材料的一多層堆疊以及一犧牲層於該多層堆疊上;移除該犧牲層;在移除該犧牲層之後,自該多層堆疊形成多個奈米結構;以及形成一閘極於該第一鰭狀物與該些奈米結構上,而該閘極的上表面與該第一鰭狀物隔有第一距離,並與該些奈米結構的一最頂部奈米結構隔有一第二距離,且該第二距離大於該第一距離,該半導體裝置的形成方法更包括形成一第一介電層於該些奈米結構上,該第一介電層在該些奈米結構的一第一奈米結構的一第一界面具有一第一厚度,並在該些奈米結構的該最頂部奈米結構的一第二界面具有一第二厚度,且該第二厚度大於該第一厚度。
  7. 如請求項6之半導體裝置的形成方法,其中形成該第一介電層的步驟更包括採用一第一選擇性處理。
  8. 一種半導體裝置,包括:一半導體鰭狀物,位於一基板上;一多通道裝置,位於該基板上;一第一閘極介電層,包含一第一界面以圍繞該多通道裝置的一最頂部奈米結構,以及一第二界面以圍繞該多通道裝置的另一奈米結構,且該第一閘極介電層在該第一界面的一第一厚度大於該第一閘極介電層在該第二界面的一第二厚度;以及一閘極,位於该第一界面與該第二界面處的該第一閘極介電層上並圍繞該第 一界面與該第二界面處的該第一閘極介電層,且該閘極在該半導體鰭狀物上的一第一高度小於該閘極在該最頂部奈米結構上的一第二高度。
  9. 如請求項8之半導體裝置,其中該第一閘極介電層包括一第一原生氧化物層於該第一界面。
TW110115300A 2020-05-29 2021-04-28 半導體裝置與其形成方法 TWI777530B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/888,239 US11264283B2 (en) 2020-05-29 2020-05-29 Multi-channel devices and methods of manufacture
US16/888,239 2020-05-29

Publications (2)

Publication Number Publication Date
TW202145319A TW202145319A (zh) 2021-12-01
TWI777530B true TWI777530B (zh) 2022-09-11

Family

ID=77809032

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110115300A TWI777530B (zh) 2020-05-29 2021-04-28 半導體裝置與其形成方法

Country Status (3)

Country Link
US (3) US11264283B2 (zh)
CN (1) CN113451390A (zh)
TW (1) TWI777530B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210408239A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors
US20240105453A1 (en) * 2022-09-27 2024-03-28 Intel Corporation High aspect ratio metal gate cuts

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170278842A1 (en) * 2016-03-25 2017-09-28 Qualcomm Incorporated Integrated circuits including a finfet and a nanostructure fet

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10833191B2 (en) * 2019-03-05 2020-11-10 International Business Machines Corporation Integrating nanosheet transistors, on-chip embedded memory, and extended-gate transistors on the same substrate
KR20200137259A (ko) * 2019-05-29 2020-12-09 삼성전자주식회사 집적회로 소자

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170278842A1 (en) * 2016-03-25 2017-09-28 Qualcomm Incorporated Integrated circuits including a finfet and a nanostructure fet

Also Published As

Publication number Publication date
TW202145319A (zh) 2021-12-01
US11264283B2 (en) 2022-03-01
US20220181214A1 (en) 2022-06-09
CN113451390A (zh) 2021-09-28
US20230326990A1 (en) 2023-10-12
US11715779B2 (en) 2023-08-01
US20210375683A1 (en) 2021-12-02

Similar Documents

Publication Publication Date Title
TWI762129B (zh) 半導體裝置及其形成方法
TWI671903B (zh) 半導體裝置結構及其製造方法
CN110957259A (zh) 半导体装置的形成方法
TWI792170B (zh) 半導體裝置與其形成方法
TWI794900B (zh) 形成半導體裝置的方法
TWI777530B (zh) 半導體裝置與其形成方法
TW202011487A (zh) 半導體裝置的形成方法
US11437287B2 (en) Transistor gates and methods of forming thereof
US20240153827A1 (en) Transistor Gates and Methods of Forming Thereof
TWI817153B (zh) 半導體裝置的形成方法
TW202217974A (zh) 半導體裝置及其形成方法
TW202234477A (zh) 半導體裝置的形成方法
TWI792269B (zh) 半導體裝置與其製作方法
TWI776617B (zh) 半導體元件之製造方法
US12002719B2 (en) Gapfill structure and manufacturing methods thereof
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
US11615965B2 (en) Semiconductor FinFET device and method
TWI838669B (zh) 半導體裝置及其形成方法
US12002715B2 (en) Semiconductor device and method
TW202301682A (zh) 半導體裝置
TW202243251A (zh) 半導體裝置的形成方法
TW202310003A (zh) 半導體裝置的製作方法
KR20210043507A (ko) 반도체 FinFET 디바이스 및 방법
TW202331846A (zh) 半導體裝置與其形成方法
TW202326867A (zh) 半導體裝置結構與其形成方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent