TW202044414A - 半導體裝置與其製作方法 - Google Patents

半導體裝置與其製作方法 Download PDF

Info

Publication number
TW202044414A
TW202044414A TW109113137A TW109113137A TW202044414A TW 202044414 A TW202044414 A TW 202044414A TW 109113137 A TW109113137 A TW 109113137A TW 109113137 A TW109113137 A TW 109113137A TW 202044414 A TW202044414 A TW 202044414A
Authority
TW
Taiwan
Prior art keywords
layer
aluminum
work function
gate
nanostructure
Prior art date
Application number
TW109113137A
Other languages
English (en)
Other versions
TWI742621B (zh
Inventor
程仲良
子韋 方
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202044414A publication Critical patent/TW202044414A/zh
Application granted granted Critical
Publication of TWI742621B publication Critical patent/TWI742621B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

此處揭露具有不同閘極結構的半導體裝置結構與其製作方法,其設置以提供超低臨界電壓。半導體裝置包括第一奈米結構層與第二奈米結構層中的第一奈米結構的通道區與第二奈米結構的通道區;以及第一全繞式閘極結構與一第二全繞式閘極結構,分別圍繞第一奈米結構的通道區與第二奈米結構的通道區。第一全繞式閘極結構包括鋁為主的閘極堆疊,其具有第一閘極介電層、鋁為主的n型功函數金屬層、第一金屬蓋層、與第一閘極金屬填充層。第二全繞式閘極結構包括無鋁的閘極堆疊,其具有第二閘極介電層、無鋁的p型功函數金屬層、金屬成長抑制層、第二金屬蓋層、與第二閘極金屬填充層。

Description

半導體裝置與其製作方法
本發明實施例關於半導體裝置,更特別關於半導體裝置所用的閘極結構。
隨著半導體技術進展,對更高的儲存容量、更快的處理系統、更高效能、與更低成本的需求增加。為達這些需求,半導體產業持續縮小半導體裝置(如金氧半場效電晶體,包含平面金氧半場效電晶體與鰭狀場效電晶體)的尺寸。尺寸縮小會增加半導體製程的複雜度。
本發明一實施例提供之半導體裝置包括:基板;交錯配置的多個第一奈米結構層與多個第二奈米結構層的第一堆疊與第二堆疊,設置於基板上;相反導電型態的第一磊晶區與第二磊晶區,分別位於第一堆疊與第二堆疊上;多個第一奈米結構的通道區與多個第二奈米結構的通道區,分別位於第一堆疊與第二堆疊的第一奈米結構層與第二奈米結構層中;以及第一全繞式閘極結構與第二全繞式閘極結構,分別圍繞第一奈米結構的通道區與第二奈米結構的通道區。第一全繞式閘極結構包括鋁為主的閘極堆疊,其具有第一閘極介電層、鋁為主的n型功函數金屬層、第一金屬蓋層、與第一閘極金屬填充層。第二全繞式閘極結構包括無鋁的閘極堆疊,其具有第二閘極介電層、無鋁的p型功函數金屬層、金屬成長抑制層、第二金屬蓋層、與第二閘極金屬填充層。
本發明一實施例提供之半導體裝置,包括:基板;交錯配置的多個第一奈米結構層與多個第二奈米結構層的第一堆疊與第二堆疊,設置於基板上;第一奈米結構的通道區與第二奈米結構的通道區,分別位於第一堆疊與第二堆疊的第一奈米結構層與第二奈米結構層中;第一閘極結構,位於第一奈米結構的通道區上;以及第二閘極結構,位於第二奈米結構的通道區上。第一閘極結構包括鋁為主的閘極堆疊,其具有第一閘極介電層、鋁為主的n型功函數金屬層、第一金屬蓋層、與第一閘極金屬填充層。第二閘極結構包括無鋁的閘極堆疊,其具有第二閘極介電層、無鋁的p型功函數金屬層、金屬成長抑制層、第二金屬蓋層、與第二閘極金屬填充層。
本發明一實施例提供之半導體裝置的製作方法,包括:形成交錯配置的多個第一奈米結構層與多個第二奈米結構層之第一堆疊與第二堆疊於一基板上;分別形成多個第一奈米結構的通道區與多個第二奈米結構的通道區於第一堆疊與第二堆疊的第一奈米結構層與第二奈米結構層中;選擇性地形成無鋁的p型功函數金屬層於第一奈米結構的通道區上;選擇性地沉積金屬成長抑制層於無鋁的p型功函數金屬層上;選擇性地沉積鋁為主的n型功函數金屬層於第二奈米結構的通道區上;沉積金屬蓋層於無鋁的p型功函數金屬層與鋁為主的n型功函數金屬層上;以及沉積無氟或無氯的閘極金屬填充層於金屬蓋層上。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。附圖可用於搭配說明實施例。在圖式中,類似標號一般用於標示相同、功能類似、及/或結構類似的單元。
下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
值得注意的是,下述內容的「一實施例」、「一例示性的實施例」、「例示性」、或類似用語所述的實施例可包含特定的特徵、結構、或特性,但每一實施例可不必包含特定的特徵、結構、或特性。此外,這些用語不必視作相同實施例。此外,當結合實施例描述特定特徵、結構、或特性時,無論是否明確說明,本技術領域中具有通常知識者自可結合其他實施例以實施這些特徵、結構、或特性在。
應理解的是,此處的措詞或用語的目的為說明而非限制,因此本技術領域中具有通常知識者可依此處說明解釋下述說明的措詞或用語。
此處採用的用語「選擇性蝕刻」指的是相同蝕刻條件下,對兩種不同材料的蝕刻速率之間的比例。
此處採用的用語「選擇性沉積」指的是在相同的沉積條件下,在兩種不銅材料或表面上的沉積速率之間的比例。
此處採用的用語「高k」指的是高介電常數。在半導體裝置結構與其製程的領域中,高介電常數為大於氧化矽的介電常數之介電常數(比如大於3.9)。
此處採用的用語「p型」定義的結構、層狀物、及/或區域摻雜p型摻質如硼。
此處採用的用語「n型」定義的結構、層狀物、及/或區域摻雜n型摻質如磷。
此處採用的用語「奈米結構」定義的結構、層狀物、及/或區域的水平尺寸(比如沿著X軸及/或Y軸)及/或垂直尺寸(比如沿著Z軸)小於100 nm。
此處採用的用語「n型功函數金屬」定義為金屬或含金屬材料的功函數值,與場效電晶體的通道區之導帶能量之間的差距,小於與場效電晶體的通道區之價帶能量之間的差距。在一些實施例中,用語「n型功函數金屬」定義的金屬或含金屬材料,其功函數值小於4.5 eV。
此處採用的「p型功函數金屬」定義為金屬或含金屬材料的功函數值,與場效電晶體的通道區之價帶能量之間的差距,小於與場效電晶體的通道區之導帶能量之間的差距。在一些實施例中,用語「p型功函數金屬」定義的金屬或含金屬材料,其功函數值大於或等於4.5eV。
在一些實施例中,用語「大約」和「基本上」指的是在5%之內變化的給定數值(比如數值±1%、±2%、±3%、±4%、或±5%)。
此處揭露的鰭狀結構之圖案化方法可為任何合適方法。舉例來說,鰭狀結構的圖案化方法可採用一或多道光微影製程,包括雙重圖案化製程或多重圖案化製程。一般而言,雙重圖案化製程或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一些實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程以沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,並可採用保留的間隔物以圖案化鰭狀結構。
開啟場效電晶體所需的閘極電壓(臨界電壓Vt)取決於場效電晶體的通道區之半導體材料,與場效電晶體的閘極結構中包含的層狀物堆疊(又視作閘極堆疊)的功函數值。舉例來說,對n型場效電晶體而言,減少n型場效電晶體的通道區材料之導帶能量(比如矽的4.1 eV或矽鍺的3.8 eV)與n型場效電晶體的閘極堆疊之功函數值之間的差異,可降低n型場效電晶體的臨界電壓。對p型場效電晶體而言,減少p型場效電晶體的通道區材料之價帶能量(比如矽的5.2 eV或矽鍺的4.8 eV)與p型場效電晶體的閘極堆疊之功函數值之間的差異,可降低p型場效電晶體的臨界電壓。場效電晶體的閘極堆疊之功函數值可取決於場效電晶體的閘極堆疊之每一層的材料組成及/或厚度。如此一來,可調整閘極堆疊層的厚度及/或材料組成,以形成具有不同臨界電壓的場效電晶體。
由於對低能耗的可攜裝置之需求增加,對低臨界電壓(比如臨界電壓低於100 mV,又視作超低臨界電壓)的場效電晶體之需求也隨之增加。使場效電晶體達到超低臨界電壓的方法之一,係在閘極堆疊中採用厚度大於約4 nm (比如約5 nm至約10 nm)的功函數金屬層。然後閘極堆疊中的功函數金屬層厚度受限於場效電晶體的閘極堆疊之幾何形狀。舉例來說,在全繞式閘極的場效電晶體中,功函數金屬層的厚度會受限於全繞式閘極場效電晶體的奈米結構的通道區之間的空間。此外,隨著場效電晶體(如全繞式閘極場效電晶體)的尺寸持續縮小,沉積這麼厚的功函數金屬層的挑戰性也越來越高。
本發明實施例提供場效電晶體(如鰭狀場效電晶體或全繞式閘極場效電晶體)的結構例子,其具有不同的閘極結構設置以提供超低臨界電壓。本發明實施例亦提供方法的例子以形成這些電晶體於相同基板上。方法的例子可形成具有不同功函數值(因此具有不同及/或超低臨界電壓)的不同導電型態之場效電晶體於相同基板上。這些例子的方法在製作具有奈米結構的通道區與超低臨界電壓的場效電晶體中的可信閘極結構時,較不複雜且成本較低(相較於形成具有類似通道尺寸與臨界電壓的場效電晶體於相同基板上的其他方法)。此外,這些例子的方法所形成的場效電晶體之閘極結構具有較小尺寸(比如較薄的閘極堆疊,與採用其他方法形成之具有類似臨界電壓的場效電晶體相較)。舉例來說,採用這些例子的方法,可減少閘極堆疊層厚度約50%至約75% (與採用其他方法所形成的閘極堆疊層厚度相較)。
在一些實施例中,n型場效電晶體與p型場效電晶體具有不銅的閘極堆疊層設置,其可選擇性地形成於相同基板上。為了達到超低臨界電壓的n型場效電晶體與p型場效電晶體,n型場效電晶體與p型場效電晶體可分別具有鋁為主的n型場效電晶體的閘極結構與實質上無鋁(比如無鋁)的p型場效電晶體的閘極結構。n型場效電晶體與p型場效電晶體的閘極堆疊可分別具有n型功函數金屬層與p型功函數金屬層,以物理接觸n型場效電晶體與p型場效電晶體的閘極介電層。n型場效電晶體的閘極堆疊可包含鋁為主的n型功函數金屬層(如鋁為主的鈦或鉭合金),而p型場效電晶體的閘極堆疊可包含實質上無鋁(比如無鋁)且厚度小於3 nm (如約0.5 nm至約3 nm)的p型功函數金屬層,以達超低臨界電壓。在一些實施例中,p型場效電晶體的閘極堆疊可具有金屬成長抑制層,其設置以在形成鋁為主的n型功函數金屬層於n型場效電晶體的閘極堆疊中時,抑制鋁為主的層狀物沉積於p型場效電晶體的閘極堆疊中。如此一來,抑制層可避免鋁離子汙染p型場效電晶體,而此汙染會降低p型功函數金屬層的功函數值與增加p型場效電晶體的臨界電壓。在一些實施例中,n型場效電晶體的閘極結構可具有金屬蓋層於鋁為主的n型功函數金屬層上。金屬蓋層可避免氧化鋁為主的n型功函數金屬層,因此可避免增加鋁為主的n型功函數金屬層的功函數值與增加n型場效電晶體的臨界電壓。
在一些實施例中,半導體裝置100具有場效電晶體102A與場效電晶體102B,如圖1A至1D所示。圖1A係一些實施例中,半導體裝置100的等角圖。在一些實施例中,圖1B至1D分別為圖1A的半導體裝置100沿著剖線B-B、C-C、與D-D的剖視圖。在一些實施例中,場效電晶體102A與102B可分別為n型場效電晶體與p型場效電晶體。雖然圖1A至1D中只有兩個場效電晶體,但半導體裝置100可具有任何數目的場效電晶體。除非另外說明,否則n型場效電晶體102A與p型場效電晶體102B中相同標號元件的說明可適用於彼此。半導體裝置100的等角圖與剖視圖用於說明目的,且可能未依比例繪製。
如圖1A至1D所示,可形成場效電晶體102A與102B於基板106上。基板106可為半導體材料,其可為但不限於矽。在一些實施例中,基板106可包含結晶矽基板(如晶圓)。在一些實施例中,基板106可包含(i)半導體元素如鍺;(ii)半導體化合物,包括碳化矽、砷化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、及/或III-V族半導體材料;(iii)半導體合金,包括矽鍺、碳化矽鍺、鍺錫、矽鍺錫、磷砷化鎵、磷化鎵銦、砷化鎵銦、磷砷化鎵銦、砷化鋁、及/或砷化鋁鎵;(iv)絕緣層上矽結構;(v)絕緣層上矽鍺結構;(vi)絕緣層上鍺結構;或(vii)上述之組合。此外,可依設計需求(如p型基板或n型基板)摻雜基板106。在一些實施例中,基板106可摻雜p型摻質(如硼、銦、鋁、或鎵)或n型摻質(如磷或砷)。
場效電晶體102A與102B可分別包含鰭狀結構108A與108B、鈍化層109A與109B、磊晶的鰭狀物區110A與110B、閘極結構112A與112B (亦視作全繞式閘極結構)、內側間隔物113A與113B、與外側間隔物114A與114B。
如圖1B至1D所示,鰭狀結構108A可包含鰭狀物為主的部分119A,以及位於鰭狀物為主的部分119A上的第一半導體層120的堆疊。鰭狀結構108B可包含鰭狀物為主的部分119B,與第二半導體層122的堆疊。在一些實施例中,鰭狀物為主的部分119A與119B包含的材料可與基板106類似。鰭狀物為主的部分119A與119B的形成方法可為光微影圖案化與蝕刻基板106。第一半導體層120與第二半導體層122包含的半導體材料可彼此不同。在一些實施例中,第一半導體層120與第二半導體層122包含的半導體材料之氧化速率及/或蝕刻選擇性可彼此不同。在一些實施例中,第一半導體層120與第二半導體層122包含的半導體材料可與基板106類似或不同。第一半導體層120與第二半導體層122可包含(i)半導體元素如矽或鍺;(ii)半導體化合物,包含III-V族半導體材料;(iii)半導體合金,包含矽鍺、鍺錫、或矽鍺錫;或(iv)上述之組合。
在一些實施例中,第一半導體層120與第二半導體層122可包含矽鍺,其鍺含量為約25原子%至約50原子%且其餘原子為矽,或者可包含矽而實質上不含鍺(如無鍺)。第一半導體層120及/或第二半導體層122的半導體材料可為未摻雜,或可在磊晶成長製程時原位摻雜(i)p型摻質如硼、銦、或鎵;及/或(ii)n型摻質如磷或砷。在一些實施例中,第一半導體層120可包含用於n型場效電晶體102A的矽、砷化矽、磷化系、碳化矽、或碳磷化矽,而第二半導體層122可包含用於p型場效電晶體102B的矽鍺、硼化矽鍺、硼化鍺、硼化矽鍺錫、或III-V族半導體化合物。在一些實施例中,第一半導體層120與第二半導體層122均可包含用於n型場效電晶體102A與102B的矽、砷化矽、磷化系、碳化矽、或碳磷化矽,或用於p型場效電晶體102A與102B的矽鍺、硼化矽鍺、硼化鍺、硼化矽鍺錫、或III-V族半導體化合物。在一些實施例中,第一半導體層120與第二半導體層122包含的材料可彼此類似,以用於n型與p型的場效電晶體102A與102B。
每一第一半導體層120可具有(i)被磊晶的鰭狀物區110A包覆且位於內側間隔物113A與外側間隔物114A之下的奈米結構區120A (見圖1A與1D),以及(ii)被閘極結構112A包覆的奈米結構的通道區120B (見圖1B與1D)。類似地,每一第二半導體層122可具有(i)被磊晶的鰭狀物區110B包覆且位於內側間隔物113B與外側間隔物114B之下的奈米結構區122A (見圖1A與1C),以及(ii)被閘極結構112B包覆的奈米結構的通道區122B (見圖1B與1C)。
如圖1B所示,奈米結構的通道區120B與122B可各自具有沿著Z軸的垂直尺寸H1 與H2 (比如厚度或直徑,其為約5 nm至約12 nm),以及沿著Y軸的水平尺寸W1 與W2 (比如寬度或直徑,其為約5 nm至約30 nm)。H1 /W1 與H2 /W2 的比例可各自為約0.2至約5。雖然圖1B所示的奈米結構的通道區120B與122B具有矩形剖面,奈米結構的通道區120B與122B可具有其他幾何形狀(如圓形、橢圓形、三角形、或多邊形)的剖面。此外,奈米結構的通道區120B與122B可沿著X軸具有個別的水平尺寸L1 (見圖1D)與水平尺寸L2 (見圖1C),其為約10 nm至約100 nm。L1 /H1 與L2 /H2 的比例可為約2至約20。在一些實施例中,垂直尺寸H1 與H2 、水平尺寸W1 與W2 、以及水平尺寸L1 與L2 可彼此相同或不同。在一些實施例中,H1 /W1 與H2 /W2 的比例,以及L1 /H1 與L2 /H2 的比例可彼此相同或不同。
如圖1A與1B所示,鈍化層109A與109B可分別位於奈米結構的通道區120B與122B之側壁與鰭狀物為主的部分119A與119B的側壁上。在一些實施例中,鈍化層109A可位於最頂部的奈米結構的通道區120B之上表面上,如圖1D所示。在一些實施例中,鈍化層109A與109B可為氮化物、氧化物、氟化物、氯化物、及/或硫化物的膜狀物。
如圖1A、1C、與1D所示,可成長磊晶的鰭狀物區110A以包覆不在內側間隔物113A或外側間隔物114A之下的奈米結構區120A。類似地,可成長磊晶的鰭狀物區110B以包覆不在內側間隔物113B或外側間隔物114B之下的奈米結構區122A。在圖2A與2B所示的一些實施例中,磊晶的鰭狀物區110B與110A可成長於鰭狀物為主的部分119B與119A上,而不分別包覆奈米結構區122A與120A。磊晶的鰭狀物區110A與110B包含的磊晶成長的半導體材料可彼此類似或不同。在一些實施例中,磊晶成長的半導體材料可與基板106的材料相同或不同。磊晶的鰭狀物區110A與110B各自沿著個別奈米結構區120A與122A之側壁的厚度,可為約3 nm至約6 nm。雖然圖1C與1D所示的磊晶的鰭狀物區110A與110B具有三角形的剖面,但磊晶的鰭狀物區110A與110B可具有其他幾何形狀(如矩形、半圓形、或多邊形)的剖面。
磊晶的鰭狀物區110A與110B可分別為n型或p型。p型磊晶的鰭狀物區110B可包含矽鍺、硼化矽鍺、硼化鍺、硼化矽鍺錫、III-V族半導體化合物、或上述之組合,且摻質濃度為約1 x1020 原子/cm3 至約1x1021 原子/cm3 。在一些實施例中,每一p型磊晶的鰭狀物區110B可具有多個子區(未圖示),其可包含矽鍺且摻雜濃度、磊晶成長條件、及/或鍺與矽的相對濃度可彼此不同。每一子區的厚度可彼此類似或不同,其可為約0.5 nm至約5 nm。在一些實施例中,第一子區中的鍺原子%可小於第二子區中的鍺原子%。在一些實施例中,第一子區包含約15原子%至約35原子%的鍺,而第二子區可包含約25原子%至約50原子%的鍺,且上述子區中的其他原子%為矽。
在一些實施例中,p型磊晶的鰭狀物區110B的多個子區彼此之間可具有多種p型摻質濃度。舉例來說,第一子區可為未摻雜,或摻質濃度(比如低於約8x1020 原子/cm3 )低於第二子區的摻質濃度(比如約1x1020 原子/cm3 至約3x1022 原子/cm3 )。
在一些實施例中,n型磊晶的鰭狀物區110A可具有多個n型子區(未圖示)。第一n型子區的材料可為砷化矽、碳化矽、或碳磷化矽,其摻雜濃度可為約1x1020 原子/cm3 至約1x1021 原子/cm3 ,且其厚度可為約1 nm至約3 nm。第二n型子區位於第一n型子區上,且其材料可為磷化矽且摻質濃度為約1x1020 原子/cm3 至約1x1022 原子/cm3 。第三n型子區位於第二n型子區上,且其材料組成及厚度可與第一n型子區類似。
磊晶的鰭狀物區110A與110B與其下方的奈米結構區120A與122A可分別形成源極/汲極區126A與126B。在一些實施例中,圖2B與2A所示的磊晶的鰭狀物區110A與110B可分別形成源極/汲極區126A與126B。奈米結構的通道區120B與122B可分別夾設於一對源極/汲極區126A與126B之間,如圖1C、1D、2A、與2B所示。
閘極結構112A與112B可為多層結構,其可分別包覆奈米結構的通道區120B與122B,因此閘極結構112A與112B可視作「全繞式閘極結構」或「水平全繞式閘極結構」,而場效電晶體102A與102B可視作「全繞式閘極場效電晶體102A與102B」或「全繞式閘極n型場效電晶體與p型場效電晶體102A與102B」。
閘極結構112A與112B可分別包含界面氧化物層127A與127B、閘極介電層128A與128B、閘極的功函數金屬層130A與130B、金屬蓋層132A與132B、與閘極金屬填充層135A與135B。閘極結構112B可進一步包含金屬成長抑制層131。即使圖1B顯示閘極結構112A與112B的所有層狀物分別包覆奈米結構的通道區120B與122B,界面氧化物層127A與127B與閘極介電層128A與128B仍可包覆奈米結構的通道區120B與122B,以填入相鄰的奈米結構的通道區120B與122B之間的空間,並因此使奈米結構的通道區120B與122B彼此電性隔離,以在操作場效電晶體102A與102B時避免閘極結構112A與112B以及源極/汲極區126A與126B之間的短路。在一些實施例中,除了閘極結構112A與112B的所有層狀物分別包覆奈米結構的通道區120B與122B,至少還有界面氧化物層127A與127B、閘極介電層128A與128B、閘極的功函數金屬層130A與130B包覆奈米結構的通道區120B與122B,以填入相鄰的奈米結構的通道區120B與122B之間的空間,進而分別達到場效電晶體102A與102B的超低臨界電壓。
每一界面氧化物層127A與127B可位於個別的奈米結構的通道區120B與122B上,且可包含厚度為約0.5 nm至約1.5 nm的氧化矽。每一閘極介電層128A與128B的厚度(如約1 nm至約3 nm)可為界面氧化物層127A與127B的厚度之約2到3倍,且可包含(i)氧化矽層、氮化矽層、及/或氮氧化矽層;(ii)高介電常數的介電材料如氧化鉿、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、或氧化鋯矽;(iii)高介電常數的介電材料,其具有鋰、鈹、鎂、鈣、鍶、鈧、钇、鋯、鋁、鑭、鈰、鐠、釹、釤、銪、釓、鋱、鏑、鈥、鉺、銩、鐿、或鎦的氧化物;或(iv)上述之組合。
如圖1B至1D所示的一些實施例,功函數金屬層130A與130B可位於閘極介電層128A與128B上並物理接觸閘極介電層128A與128B,且可分別包含n型功函數金屬層與p型功函數金屬層以用於n型場效電晶體102A與p型場效電晶體102B。n型功函數金屬層包含的金屬化材料之功函數值與奈米結構的通道區120B之材料的導帶能量之間的差距,可小於與奈米結構的通道區120B之材料的價帶能量之間的差距。舉例來說,n型功函數金屬層可包含鋁為主或摻雜鋁的金屬化材料,其功函數值小於4.5 eV (比如約3.5 eV至約4.4 eV),其與矽為主或矽鍺為主的奈米結構的通道區120B之導帶能量(如矽的4.1 eV或矽鍺的3.8 eV)的差距,小於與矽為主或矽鍺為主的奈米結構的通道區120B之價帶能量(如矽的5.2 eV或矽鍺的4.8 eV)的差距。在一些實施例中,n型功函數金屬層可包含鈦鋁、碳化鈦鋁、鉭鋁、碳化鉭鋁、摻雜鋁的鈦、摻雜鋁的氮化鈦、摻雜鋁的鉭、摻雜鋁的氮化鉭、或上述之組合。在一些實施例中,每一n型功函數金屬層的厚度可為約1 nm至約3 nm。上述厚度可讓n型功函數金屬層包覆奈米結構的通道區120B以達n型場效電晶體102A的超低臨界電壓,而不受限於相鄰的奈米結構的通道區120B之間的空間。
p型功函數金屬層包含的金屬化材料之功函數值,其與奈米結構的通道區122B的材料之價帶能量的差距,可小於與奈米結構的通道區122B的材料之導帶能量的差距。舉例來說,p型功函數金屬層可包含實質上無鋁(比如無鋁)的金屬化材料,其功函數值大於或等於4.5 eV (比如約4.5 eV至約5.5 eV),其與矽為主或矽鍺為主的奈米結構的通道區122B之價帶能量(如矽的5.2 eV或矽鍺的4.8 eV)的差距,小於與矽為主或矽鍺為主的奈米結構的通道區122B之導帶能量(如矽的4.1 eV或矽鍺的3.8 eV)的差距。在一些實施例中,p型功函數金屬層可包含實質上無鋁(比如無鋁)的(i)鈦為主的氮化物或合金,比如氮化鈦、氮化鈦矽、鈦金合金、鈦銅合金、鈦鉻合金、鈦鈷合金、鈦鉬合金、或鈦鎳合金;(ii)鉭為主的氮化物或合金,比如氮化鉭、氮化鉭矽、鉭金合金、鉭銅合金、鉭鎢合金、鉭鉑合金、鉭鉬合金、鉭鈦合金、或鉭鎳合金;或(iii)上述之組合。
在沉積功函數金屬層130A之鋁為主的金屬化材料於閘極介電層128A上之前,金屬成長抑制層131可選擇性地位於功函數金屬層130B上,而不位於閘極介電層128A上。金屬成長抑制層131可設置為在沉積功函數金屬層130A時,抑制沉積鋁為主的金屬化材料的任何實質厚度於功函數金屬層130B上,比如功函數金屬層130B上的鋁為主的金屬化材料厚度為約0 nm、約0.1 nm、約0.2 nm、或約0.5 nm。如此一來,金屬成長抑制層131可避免或實質上降低鋁原子汙染p型場效電晶體的閘極結構112B,此污染會降低p型功函數金屬層130B的功函數值並增加p型場效電晶體的臨界電壓。金屬成長抑制層131包含的材料層可在金屬表面(如功函數金屬層130B)上具有較高的沉積選擇性(與介電表面如閘極介電層128A相較)。在一些實施例中,金屬成長抑制層131可包含矽為主的層狀物,比如矽或其化合物的層狀物、非晶或多晶矽的層狀物、或上述之組合。在一些實施例中,金屬成長抑制層131的厚度可為約0.5 nm至約2 nm。此厚度的金屬成長抑制層131可包覆奈米結構的通道區122B以達p型場效電晶體102B的超低臨界電壓,而不受限於相鄰的奈米結構的通道區122B之間的空間。
金屬蓋層132A與132B可分別位於功函數金屬層130A與金屬成長抑制層131上。在一些實施例中,金屬蓋層132A與132B可包含金屬化層,其可作為上方的層狀物(如閘極金屬填充層135A與135B)所用的黏著促進層、黏著層、底漆層、及/或成核層,及/或作為下方的層狀物(如功函數金屬層130A與130B)所用的保護層、氧擴散阻障層、及/或金屬擴散阻障層。在一些實施例中,金屬蓋層132A與132B包含的材料在閘極結構112A與112B的製程時,可避免n型功函數金屬層130A (如鋁為主或摻雜鋁的層狀物)氧化。由於氧化的n型功函數金屬層130A (比如氧化鋁為主的層狀物)的功函數值與矽為主或矽鍺為主的奈米結構的通道區120B之價帶能量(如矽的5.2 eV或矽鍺的4.8 eV)之間的差距,小於與矽為主或矽鍺為主的奈米結構的通道區120B之導帶能量(如矽的4.1 eV或矽鍺的3.8 eV)之間的差距,因此可避免n型的功函數金屬層130A氧化,並造成n型場效電晶體102A的臨界電壓增加。在一些實施例中,金屬蓋層132A與132B可包含無鋁金屬化層,比如鈦、氮化鈦、氮化鈦矽、鉭、氮化鉭、氮化鉭矽、氮化鎢、碳氮化鎢、其他合適的金屬氮化物、金屬合金、或上述之組合。在一些實施例中,金屬蓋層132A與132B的厚度可為約1 nm至約3 nm。
每一閘極金屬填充層135A與135B可包含單一金屬層或金屬層的堆疊。金屬層的堆疊可包含彼此不同的金屬。在一些實施例中,每一閘極金屬填充層135A與135B可包含合適的導電材料如鎢、鈦、銀、釕、銥、鉬、銅、鈷、鎳、金屬合金、及/或上述之組合。在一些實施例中,閘極金屬填充層135A與135B可包含無氟及/或無氯的金屬層(如釕或銥),其由無氟及/或無氯的前驅物所形成,比如有機前驅物η4 -2,3-二甲基丁二烯釕三羰基釕或乙醯丙酮銥。在一些實施例中,閘極金屬填充層135A與135B的厚度可為約150 nm至約200 nm。
雖然圖式中的閘極結構112A與112B具有全繞式閘極結構,但其他結構(比如垂直的全繞式閘極結構或不具有全繞式閘極結構的閘極結構)仍屬於本發明實施例的精神與範疇。
每一內側間隔物113A可位於磊晶的鰭狀物區110A的子區110As與閘極結構112A的子區112As之間,而每一內側間隔物113B可位於磊晶的鰭狀物區110B的子區110Bs與閘極結構112B的子區112Bs之間。每一內側間隔物113A與113B可分別避免子區110As與112As之間的電容耦合,以及子區110Bs與子區112Bs之間的電容耦合。避免這些子區之間的電容耦合,可降低源極/汲極區126A與126B以及閘極結構112A與112B之間的寄生電容,並改善n型場效電晶體102A與p型場效電晶體102B的裝置效能。
在一些實施例中,內側間隔物113A與113B可包含低介電常數的介電材料,其介電常數小於約3.9及/或介於約1至約3.5之間。在一些實施例中,低介電常數的介電材料可包含碳氮氧化矽、碳氮化矽、碳氧化矽、聚醯亞胺、摻雜碳的氧化物、摻雜氟的氧化物、摻雜氫的氧化物、或上述之組合。在一些實施例中,內側間隔物113A與113B的材料可彼此類似或不同。在一些實施例中,n型場效電晶體102A與p型場效電晶體102B均可具有內側間隔物如內側間隔物113A與113B,或者n型場效電晶體102A與p型場效電晶體102B之一可具有內側間隔物如內側間隔物113A或113B。雖然圖1C與1D所示的內側間隔物113A與113B為矩形剖面,但內側間隔物113A與113B可具有其他幾何形狀(比如半圓形、三角形、或多邊形)的剖面。在一些實施例中,每一內側間隔物113A與113B沿著X軸的水平尺寸可為約3 nm至約15 nm。
在一些實施例中,外側間隔物114A與114B可位於個別閘極結構112A與112B的側壁上,並物理接觸個別的閘極介電層128A與128B。外側間隔物114A與114B可包含絕緣材料,比如氧化矽、氮化矽、碳氮化矽、碳氮氧化矽、低介電常數的材料、或上述之組合。外側間隔物114A與114B可具有低介電常數的材料,其介電常數小於約3.9及/或介於約1至約3.5之間。在一些實施例中,每一外側間隔物114A與114B的厚度可為約2 nm至約10 nm。在一些實施例中,外側間隔物114A之間沿著X軸的水平距離,大於內側間隔物113A之間沿著X軸的水平距離。類似地,外側間隔物114B之間沿著X軸的水平距離,大於內側間隔物113B之間沿著X軸的水平距離。
可採用其他結構構件如閘極接點結構、源極/汲極接點結構、導電通孔、導電線路、內連線金屬層、或類似物,以將場效電晶體102A與102B結合至積體電路,且且未顯示其他結構構件以求圖式清楚。
如圖1A至1D所示,半導體裝置100可進一步包含蝕刻停止層116、層間介電層118、與淺溝槽隔離區138。蝕刻停止層116可沉積於外側間隔物114A與114B的側壁上,以及磊晶的鰭狀物區110A與110B上。蝕刻停止層116可設置以保護閘極結構112A與112B及/或源極/汲極區126A與126B。舉例來說,這可在形成層間介電層118及/或源極/汲極接點結構(未圖示)時提供保護。在一些實施例中,蝕刻停止層116可包含氮化矽、氧化矽、氮氧化矽、碳化矽、碳氮化矽、氮化硼、硼氮化矽、碳硼氮化矽、或上述之組合。在一些實施例中,蝕刻停止層116的厚度可為約3 nm至約30 nm。
層間介電層118可位於蝕刻停止層116上,且可包含適用於可流動的介電材料(如可流動的氧化矽、可流動的氮化矽、可流動的氮氧化矽、可流動的碳化矽、或可流動的碳氧化矽)的沉積方法所沉積的介電材料。在一些實施例中,介電材料為氧化矽。在一些實施例中,層間介電層118的厚度為約50 nm至約200 nm。
淺溝槽隔離區138可設置為提供基板106上的場效電晶體102A與102B以及相鄰的場效電晶體(未圖示),及/或整合至基板106或沉積於基板106上相鄰的主動單元與被動單元(未圖示)之間的電性隔離。在一些實施例中,淺溝槽隔離區138可包含多個層狀物,比如氮化物層、位於氮化物層上的氧化物層、以及位於氮化物層上的絕緣層。在一些實施例中,絕緣層可包含氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電材料、及/或其他合適的絕緣材料。在一些實施例中,淺溝槽隔離區138沿著Z軸的垂直尺寸為約40 nm至約200 nm。
半導體裝置100的剖面形狀與其單元(比如鰭狀結構108A與108B、閘極結構112A與112B、磊晶的鰭狀物區110A與110B、內側間隔物113A與113B、外側間隔物114A與114B、及/或淺溝槽隔離區138)如圖所示,但不侷限於此。
圖3係一些實施例中,製作半導體裝置100的方法300之流程圖。為了說明目的,圖3所示的步驟將搭配製作半導體裝置100的製作製程說明,如圖4A至18A、4B至18B、7C至12C、與7D至12D所示。在一些實施例中,圖4A至12A係半導體裝置100於其製作的多種階段之等角圖。在一些實施例中,圖4B至12B分別為圖4A至12A的結構沿著剖線B-B的剖視圖。在一些實施例中,圖7C至12C與圖7D至12D分別為圖7A至12A的結構沿著剖線C-C與D-D的剖視圖。在一些實施例中,圖13A至18A與圖13B至18B分別為形成圖12A的結構之後,半導體裝置100於製作閘極結構112A與112B的多種階段沿著剖線C-C與D-D的剖視圖。可由不同順序進行一些步驟,或者不進行一些步驟,端視特定應用而定。值得注意的是,方法300可不用於產生互補式的半導體裝置100。綜上所述,應理解在方法300之前、之中、與之後可提供額外製程,且此處說明可僅簡述一些其他製程。圖4A至18A、圖4B至18B、圖7C至12C、與圖7D至12D中,與圖1A至1D中相同標號的單元已說明如上。
在步驟305中,形成n型場效電晶體與p型場效電晶體的鰭狀結構於基板上。舉例來說,具有鰭狀物為主的部分119A與119B以及第一半導體層120與第二半導體層122交錯配置的堆疊的鰭狀結構108A*與108B* (如圖5A與5B所示),可形成於基板106上,如圖4A至5B所示。在後續製程中,自鰭狀結構108A*與108B*移除第二半導體層122與第一半導體層120之後,鰭狀結構108A*與108B*可形成鰭狀結構108A與108B (如圖1A至1D所示)。形成鰭狀結構108A*與108B*所用的製程可包含形成堆疊層108*於基板106上,如圖4A與4B所示。堆疊層108*可包含交錯堆疊的第一半導體層120*與第二半導體層122*。第一半導體層120*與第二半導體層122*沿著Z軸可分別具垂直尺寸H1 與H2 ,其為約5 nm至約30 nm。
每一第一半導體層120*與第二半導體層122*可磊晶成長於其下的層狀物上,且包含的半導體材料可彼此不同。在一些實施例中,第一半導體層120*與第二半導體層122*包含的半導體材料之氧化速率及/或蝕刻選擇性可彼此不同。在一些實施例中,第一半導體層120*與第二半導體層122*包含的半導體材料可與基板106類似或不同。第一半導體層120*與第二半導體層122*可包含(i)半導體元素如矽或鍺;(ii)含III-V族半導體材料的半導體化合物;(iii)含矽鍺、鍺錫、或矽鍺錫的半導體合金;或(iv)上述之組合。在一些實施例中,第一半導體層120*可包含矽,而第二半導體層122*可包含矽鍺。在一些實施例中,第一半導體層120*與第二半導體層122*可包含矽鍺,其鍺含量為約25原子%至約50原子%且其他為矽。第一半導體層120*與第二半導體層122*亦可包含矽而實質上不含鍺(比如無鍺)。
第一半導體層120*與第二半導體層122*可為未摻雜,或者可在磊晶成長製程時採用(i)p型摻質如硼、銦、或鎵;及/或(ii)n型摻質如磷或砷以進行原位摻雜。對p型原位摻雜而言,可採用p型摻雜前驅物如乙硼烷、三氟化硼、及/或其他p型摻雜前驅物。對n型原位摻雜而言,可採用n型摻雜前驅物如磷化氫、砷化氫、及/或其他n型摻雜前驅物。
形成鰭狀結構108A*與108B*所用的製程可更包含採用形成於圖4A的堆疊層108*上的圖案化硬遮罩層(未圖示),並蝕刻圖4A的結構。在一些實施例中,硬遮罩層可包含熱氧化製程所形成的氧化矽層,及/或低壓化學氣相沉積或電漿輔助化學氣相沉積所形成的氮化矽層。蝕刻圖4A的結構之方法可包含乾蝕刻、濕蝕刻、或上述之組合。
乾蝕刻製程採用的蝕刻劑可包括含氧氣體、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、三氟化氮、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、氯化氫、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、氨、含碘氣體、其他合適的蝕刻氣體及/或電漿、或上述之組合。乾蝕刻製程的條件可如下:高偏壓為約150V至約350V、射頻功率為約10W至約50W、壓力為約5 Torr至約50 Torr、溫度為約25℃至約40℃,且歷時約10秒至約40秒。
濕蝕刻製程可包含在稀氫氟酸、氫氧化鉀溶液、氨、含氫氟酸、硝酸、醋酸、或上述之組合的溶液中進行的蝕刻。
在蝕刻堆疊層108*之後,形成的鰭狀結構108A*與108B*的鰭狀物為主的部分119A與119B分別具有沿著Z軸的垂直尺寸,其介於約40 nm至約60 nm,如圖5A與5B所示。形成於鰭狀物為主的部分119A與119B上的第一半導體層120與第二半導體層122的堆疊,可分別具有沿著Z軸的垂直尺寸H3 與H4 (約5nm至約30nm),並分別具有沿著Y軸的水平尺寸W3 與W4 (約5 nm至約50 nm)。H3 /W3 與H4 /W4 的比例可各自為約0.2至約5。在一些實施例中,垂直尺寸H3 與H4 可彼此相同或不同,而水平尺寸W3 與W4 可彼此相同或不同。在一些實施例中,H3 /W3 與H4 /W4 的比例可彼此相同或不同。
在形成鰭狀結構108A*與108B*之後,可分別形成鈍化層109A與109B於鰭狀結構108A*與108B*上,且可形成淺溝槽隔離區138於鈍化層109A與109B上,如圖6A與6B所示。形成鈍化層109A與109B於鰭狀結構108A*與108B*上的製程,可包含順應性地沉積鈍化層109於圖5A的結構上,且沉積方法可為原子層沉積或化學氣相沉積製程,其採用一或多種具有氟、氯、氮、氧、氫、氘、氨、及/或硫化氫的前驅物。順應性沉積於鰭狀結構108A*與108B*上的鈍化層109的部分,可各自視作鈍化層109A與109B。
形成淺溝槽隔離區138的方法可包括(i)採用原子層沉積或化學氣相沉積,以沉積氮化物材料層(未圖示)於鈍化層109上;(ii)採用原子層沉積或化學氣相沉積,以沉積氧化物材料層(未圖示)於但化物材料層上;(iii)沉積絕緣材料層(未圖示)於氧化物材料層上;(iv)退火絕緣材料層;(v)化學機械研磨氮化物材料層、氧化物材料層、與退火的絕緣材料層;以及(vi)以乾蝕刻製程、濕蝕刻製程、或上述之組合回蝕刻研磨後的結構,以形成圖6A與6B的淺溝槽隔離區138。
如圖3所示,步驟310形成多晶矽結構於鰭狀結構上。舉例來說,可形成多晶矽結構112A*與112B*於鰭狀結構108A*與108B*上,並可形成外側間隔物114A與114B於多晶矽結構112A*與112B*的側壁上,如圖6A與6B所示。在一些實施例中,在形成多晶矽結構112A*與112B*之前,可形成保護氧化物層640A與640B於個別的鈍化層109A與109B上,並可形成多晶矽結構112A*與112B*於個別的保護氧化物層640A與640B及淺溝槽隔離區138上,如圖6A與6B所示。
形成保護氧化物層640A與640B的製程可包含(i)在形成淺溝槽隔離區138之後,順應性地沉積氧化物材料層(未圖示)於部分的半導體裝置100 (未圖示)上;(ii)高溫退火製程;以及(iii)蝕刻製程。氧化物材料層可包括氧化矽,其順應性沉積的方法可採用合適的沉積製程如化學氣相沉積、原子層沉積、電漿輔助原子層沉積、物理氣相沉積、或電子束蒸鍍。在一些實施例中,順應性沉積氧化物材料層的方法可採用電漿輔助原子層沉積,其能量為約400瓦至約500瓦,而溫度為約300℃至約500℃。順應性沉積氧化物材料層之後,可在氧氣流下進行乾式退火製程,且退火溫度為約800℃至約1050℃。氧氣前驅物的濃度可為整體氣體流速的約0.5原子%至約5原子%。在一些實施例中,退火製程可為快閃製程,其退火時間可介於約0.5秒至約5秒之間。可不在退火製程後進行形成保護氧化物層640A與640B的蝕刻製程,而在形成下述的多晶矽結構112A*與112B*時,或在形成多晶矽結構112A*與112B*之後進行形成保護氧化物層640A與640B的蝕刻製程。
退火保護氧化物層640A與640B所用的氧化物材料之順應性沉積的層狀物之後,可形成多晶矽結構112A*與112B*。在後續製程時,可在閘極置換製程中分別置換多晶矽結構112A*與112B*以形成閘極結構112A與112B。在一些實施例中,形成多晶矽結構112A*與112B*所用的製程,可包含毯覆性地沉積多晶矽材料層於保護氧化物層640A與640B所用的氧化物材料之退火層上,並採用多晶矽材料上的圖案化硬遮罩層642A與642B並蝕刻毯覆性沉積的多晶矽材料層。在一些實施例中,多晶矽材料可為未摻雜,而硬遮罩層642A與642B可包含氧化物層及/或氮化物層。氧化物層的形成方法可採用熱氧化製程,而氮化物層的形成方法可為低壓化學氣相沉積或電漿輔助化學氣相沉積。硬遮罩層642A與642B可保護多晶矽結構112A*與112B*免於被後續的製程步驟(比如形成內側間隔物113A與113B、外側間隔物114A與114B、磊晶的鰭狀物區110A與110B、層間介電層118、及/或蝕刻停止層116的製程)影響。
毯覆性沉積多晶矽材料的方法可包含化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適的沉積製程。在一些實施例中,蝕刻毯覆性沉積的多晶矽材料層的方法,可包含乾蝕刻製程、濕蝕刻製程、或上述之組合。在一些實施例中,蝕刻毯覆性沉積的多晶矽材料層的方法可包括四道蝕刻步驟。第一多晶矽蝕刻步驟可包括採用溴化氫、氧氣、氟仿、與氯氣的氣體混合物。第二多晶矽蝕刻步驟可包括採用溴化氫、氧氣、氯氣、與氮氣的氣體混合物,其壓力為約45 mTorr至約60 mTorr。第三多晶矽蝕刻步驟可包含採用溴化氫、氧氣、氯氣、氮氣、與氬氣的氣體混合物,其壓力為約45 mTorr至約60 mTorr。第四多晶矽蝕刻步驟可包含採用溴化氫、氧氣、氯氣、與氮氣的氣體混合物,其壓力為約45 mTorr至約60 mTorr。在一些實施例中,第四多晶矽蝕刻步驟可移除多晶矽材料,以及用於保護氧化物層640A與640B之退火的順應性沉積的氧化物材料層(其未被多晶矽結構112A*與112B*覆蓋的部分)。第一多晶矽蝕刻步驟的多晶矽蝕刻速率,高於第二、第三、及/或第四多晶矽蝕刻步驟的多晶矽蝕刻速率。第一多晶矽蝕刻步驟可用於蝕刻鰭狀結構108A*與108B*上毯覆性沉積的多晶矽材料的不想要部分。第二、第三、與第四多晶矽蝕刻步驟,可用於蝕刻高深寬比空間643中毯覆性沉積的多晶矽材料層其不想要的部分。
在一些實施例中,多晶矽結構112A*與112B*在鰭狀結構108A*與108B*的上表面上沿著Z軸的垂直尺寸,可為約40 nm至約60 nm。多晶矽結構112A*與112B*的高寬比可大於或等於約9,其中高寬比為多晶矽結構112A*與112B*沿著Z軸的垂直尺寸與沿著Y軸的水平尺寸之間的比例。在一些實施例中,相鄰的多晶矽結構112A*與112B*的中心線之間沿著Y軸的水平尺寸(如空間)可為約30 nm至約70 nm。
若形成圖6A與6B的結構所用的第四多晶矽蝕刻步驟未移除氧化物層,則可在形成多晶矽結構112A*與112B*之後,移除多晶矽結構112A*與112B*未覆蓋的順應性沉積的氧化物層,且移除方法可為乾蝕刻製程或濕蝕刻製程。圖6A與6B的結構具有多晶矽結構112A*與112B*以及保護氧化物層640A與640B位於奈米結構的通道區120B與122B的堆疊上(見圖6B),並具有沿著X軸自多晶矽結構112A*與112B*的兩側向外延伸的奈米結構區120A與122A 的堆疊(見圖6A)。
在一些實施例中,保護氧化物層640A與640B可具有沿著Z軸的垂直尺寸(比如鰭狀結構108A*與108B*的上表面上的厚度),以及沿著Y軸的水平尺寸(比如鰭狀結構108A*與108B*的側壁上的厚度),其為約1 nm至約3 nm。在一些實施例中,垂直尺寸可等於或大於水平尺寸。由於保護氧化物層640A與640B的存在,在形成多晶矽結構112A*與112B*時,可自高深寬比的空間643 (比如深寬比大於1:15、1:18、或1:20)蝕刻多晶矽材料,而實質上不蝕刻及/或損傷鰭狀結構108A*與108B*。
在形成多晶矽結構112A*與112B*之後,可形成外側間隔物114A與114B於多晶矽結構112A*與112B*的側壁上,以及多晶矽結構112A*與112B*未覆蓋的鈍化層109A與109B的部分上,如圖6A與6B所示。
如圖3所示,步驟315選擇性地形成n型與p型的磊晶的鰭狀物區於鰭狀結構上。舉例來說, n型的磊晶的鰭狀物區110A與p型的磊晶的鰭狀物區110B,可選擇性地分別形成於不在多晶矽結構112A*與112B*之下的鰭狀結構108A*與108B*之部分(比如奈米結構區120A與122A)上,如圖7A至8D所示。在一些實施例中,在選擇性形成磊晶的鰭狀物區110A與110B之前,可在後續製程中分別選擇性地形成內側間隔物113A與113B於磊晶的鰭狀物區110A與110B未包覆的奈米結構區120A與122B之部分上。在一些實施例中,選擇性形成內側間隔物113A之後可選擇性形成磊晶的鰭狀物區110A,之後可選擇性形成內側間隔物113B,且之後再選擇性地形成磊晶的鰭狀物區110B,如圖7A至8D所示。
在形成n型場效電晶體102A的內側間隔物113A與磊晶的鰭狀物區110A之前,可圖案化光阻層746於p型場效電晶體102B上以保護p型場效電晶體102B,如圖7B與7C所示。圖7A未顯示光阻層746以清楚顯示圖式。形成內側間隔物113A的製程可包含依序進行下述步驟: (i)自奈米結構區120A與122A之堆疊蝕刻外側間隔物114A的部分,且奈米結構區120A與122A之堆疊沿著X軸自多晶矽結構112A*的兩側向外延伸;(ii)自奈米結構區120A與122A的堆疊蝕刻奈米結構區122A,以形成懸吊的奈米結構區120A (其具有未顯示的開口於奈米結構區120A之間);(iii)毯覆性地沉積低介電常數的介電材料層(未圖示),直到低介電常數的介電材料填滿或部分填入開口;以及(iv)蝕刻毯覆性沉積的低介電常數的介電材料層,可回蝕刻開口中的低介電常數的介電材料層,以形成內側間隔物113A,如圖7D所示。
蝕刻外側間隔物114A的步驟可包含乾蝕刻製程,其採用蝕刻劑氣體如甲烷、氧氣、與氟化甲烷。甲烷:氧氣:氟化甲烷的流速比可為約1:1:1至約1:2:4。蝕刻奈米結構區122A的步驟可包含採用乾蝕刻製程或濕蝕刻製程,其對矽鍺的選擇性高於對矽的選擇性。舉例來說,濕蝕刻製程可包含採用硫酸與過氧化氫的混合物(SPM)及/或氫氧化銨、過氧化氫、與去離子水的混合物(APM)。蝕刻奈米結構區122A可形成懸吊的奈米結構區120A,其具有開口於懸吊的奈米結構區120A之間。可控制蝕刻製程,使開口沿著X軸至少延伸至外側間隔物114A之下,且奈米結構的通道區122B的側壁實質上對準外側間隔物114A與多晶矽結構112A*之間的界面。在一些實施例中,開口可沿著X軸進一步延伸至多晶矽結構112A*下,可在將奈米結構的通道區122B與多晶矽結構112A*置換成閘極結構112A的後續製程中,避免閘極結構112A形成於外側間隔物114A下。
毯覆性沉積低介電常數的介電材料層之方法,可包括原子層沉積或化學氣相沉積製程。在一些實施例中,毯覆性沉積製程可包含多次循環的沉積與蝕刻製程。在一些實施例中,低介電常數的介電材料可包含碳氮氧化矽、碳氮化矽、碳氧化矽、聚醯亞胺、摻雜碳的氧化物、摻雜氟的氧化物、摻雜氫的氧化物、或上述之組合。蝕刻毯覆性沉積的低介電常數的介電材料的方法,可包含採用氫氟酸與三氟化氮的氣體混合物之乾蝕刻製程。氫氟酸與三氟化氮的氣體比例可為約1至20。
在形成內側間隔物113A之後,可成長磊晶的鰭狀物區110A於懸吊的奈米結構區120A周圍。在一些實施例中,磊晶的鰭狀物區110A的成長方法可為(i)化學氣相沉積如低壓化學氣相沉積、原子層化學氣相沉積、超真空化學氣相沉積、遠端電漿化學氣相沉積、或任何合適的化學氣相沉積;(ii)分子束磊晶製程;(iii)任何合適的磊晶製程;或(iv)上述之組合。在一些實施例中,磊晶的鰭狀物區110A之成長方法可為磊晶沉積與部分蝕刻製程,其重複磊晶沉積與部分蝕刻製程至少一次。n型磊晶的鰭狀物區110A可包含矽而實質上不含任何鍺(如無鍺),且可在磊晶成長製程時採用n型摻質如磷或砷進行原位摻雜。對n型的原位摻雜而言,可採用n型摻雜前驅物(比如但不限於磷化氫、砷化氫、及/或其他n型摻雜前驅物)。
在形成場效電晶體102A的內側間隔物113A與磊晶的鰭狀物區110A之後,可自場效電晶體102B移除光阻層746,並可圖案化另一光阻層846於場效電晶體102A上(如圖8B與8D所示),以在後續形成圖8A至8D所示的場效電晶體102B之內側間隔物113B與磊晶的鰭狀物區110B時保護場效電晶體102A。圖8A未顯示光阻層846以清楚顯示圖式。
形成內側間隔物113B的製程可包含依序進行下述步驟:(i)自奈米結構區120A與122A的堆疊蝕刻外側間隔物114B的部分,且奈米結構區120A與122A的堆疊沿著X軸自多晶矽結構112B*的兩側向外延伸;(ii)自奈米結構區120A與122A的堆疊蝕刻奈米結構區120A,以形成開口(未圖示)於懸吊的奈米結構區122A之間;(iii)順應性地沉積低介電常數的介電材料(未圖示),直到低介電常數的介電材料填滿或部分填入開口;以及(iv)蝕刻順應性沉積的低介電常數的介電材料層,以回蝕刻開口中的低介電常數的介電材料層,並形成圖8C所示的內側間隔物113B。
蝕刻外側間隔物114B的部分之製程,可與蝕刻外側間隔物114A的製程類似。蝕刻奈米結構區120A的製程可包含採用濕蝕刻製程,其對矽的選擇性高於矽鍺。舉例來說,濕蝕刻製程可包含採用氫氧化銨與氯化氫的混合物。可控制蝕刻奈米結構的通道區120A所用的製程,使開口沿著X方向延伸到至少外側間隔物114B之下,且奈米結構的通道區120B的側壁實質上對準外側間隔物114B與多晶矽結構112B*之間的界面。在一些實施例中,開口可進一步沿著X軸延伸至多晶矽結構112B*下,以在後續製程置換奈米結構的通道區120B與多晶矽結構112B*成閘極結構112B時,避免形成閘極結構112B於外側間隔物114B下。順應性沉積與蝕刻低介電常數的介電材料之步驟,可與形成內側間隔物113A所用的沉積與回蝕刻低介電常數的介電材料層之步驟類似。
在形成內側間隔物113B之後,可成長磊晶的鰭狀物區110B以包覆懸吊的奈米結構區122A,磊晶的鰭狀物區110B的成長方法,可與圖7A至7D所示的磊晶的鰭狀物區110A的成長方法類似,除了可在具有矽鍺的p型磊晶的鰭狀物區110B的磊晶成長製程時,採用p型摻質如硼、銦、或鎵進行原位摻雜。為了p型的原位摻雜,可採用p型摻雜的前驅物(比如但不限於乙硼烷、三氟化硼、及/或其他p型摻雜的前驅物)。在形成內側間隔物113B與磊晶的鰭狀物區110B之後,可自場效電晶體102A移除光阻層846。
在一些實施例中,若場效電晶體102A與102B為相同的導電型態(如n型或p型),則可不採用光阻層746與846而同時進行形成內側間隔物113A與113B所用的製程步驟。類似地,若場效電晶體102A與102B為類似的導電型態,則可在同時形成內側間隔物113A與113B之後,不採用光阻層746與846而同時進行形成磊晶的鰭狀物區110A與110B所用的製程步驟。
如圖3所示,步驟320形成奈米結構的通道區於n型磊晶的鰭狀物區之間與p型磊晶的鰭狀物區之間。舉例來說,奈米結構的通道區120B與122B可依序形成於多晶矽結構112A*與112B*之下的鰭狀結構108A*與108B*的區域中,如圖9A至12D所示。在形成奈米結構的通道區120B與122B之後,可沉積蝕刻停止層116於圖8A的結構上,並可沉積層間介電層118於蝕刻停止層116上。
在一些實施例中,蝕刻停止層116的組成包括氮化矽、氧化矽、氮氧化矽、碳化矽、碳氮化矽、氮化硼、硼氮化矽、碳硼氮化矽、或上述之組合。蝕刻停止層116的形成方法可包括順應性地沉積蝕刻停止層116所用的材料層於圖8A的結構上,其可採用次壓化學氣相沉積、低壓化學氣相沉積、原子層沉積、高密度電漿化學氣相沉積、電漿輔助化學氣相沉積、分子層沉積、電漿脈衝化學氣相沉積、或其他合適的沉積方法。
在順應性地沉積蝕刻停止層116所用的材料層之後,可毯覆性地沉積層間介電層118所用的介電材料層。在一些實施例中,介電材料可為氧化矽。介電材料層的沉積方法可採用適用於可流動的介電材料(如可流動的氧化矽、可流動的氮化矽、可流動的氮氧化矽、可流動的碳化矽、或可流動的碳氧化矽)之沉積方法。舉例來說,可流動的氧化矽之沉積方法可採用可流動的化學氣相沉積製程。毯覆性的沉積製程之後可在蒸汽中熱退火沉積的介電材料層,退火溫度為約200℃至約700℃,且退火時間為約30分鐘至約120分鐘。熱退火後可進行化學機械研磨製程,使蝕刻停止層116、層間介電層118、外側間隔物114A與114B、以及多晶矽結構112A*與112B*的上表面彼此共平面,如圖9A所示。在化學機械研磨製程時,可移除硬遮罩層642A與642B。
在化學機械研磨製程之後,可形成場效電晶體102A的奈米結構的通道區120B,如圖9A至10D所示。形成奈米結構的通道區120B所用的製程可包含依序進行下述步驟:(i)形成光阻層950於場效電晶體102B上,如圖9A與9C所示;(ii)自圖9A的結構蝕刻多晶矽結構112A*與保護氧化物層640A;以及(iii)自圖9A的結構蝕刻場效電晶體102A的奈米結構的通道區122B。在一些實施例中,多晶矽結構112A*與保護氧化物層640A的蝕刻方法,可採用步驟310所述的第一、第二、第三、及/或第四多晶矽蝕刻步驟。在一些實施例中,蝕刻奈米結構的通道區122B的方法可採用濕蝕刻製程,其與圖7A至7D所示之用於蝕刻奈米結構區122A所用的濕蝕刻製程類似。蝕刻奈米結構的通道區122B,可造成閘極開口1052A包覆奈米結構的通道區120B,如圖10B與10D所示。
在蝕刻場效電晶體102A的奈米結構的通道區122B之後,可形成場效電晶體102B的奈米結構的通道區122B,如圖11A至12D所示。形成奈米結構的通道區122B的製程可包含依序進行下述步驟:(i)移除光阻層950;(ii)形成光阻層1150於閘極開口1052A中(如圖10B與10D所示),以保護奈米結構區120A,如圖11B與11D所示;(iii)蝕刻多晶矽結構112B*與保護氧化物層640B;以及(iv)自圖10A的結構蝕刻場效電晶體102B的奈米結構的通道區120B。與蝕刻多晶矽結構112A*與保護氧化物層640A的步驟類似,可採用步驟310中所述的第一、第二、第三、及/或第四多晶矽蝕刻步驟蝕刻多晶矽結構112B*與保護氧化物層640B。在一些實施例中,可採用與圖8A至8D中蝕刻奈米結構區120A所用的濕蝕刻製程類似的濕蝕刻製程,以蝕刻奈米結構的通道區120B。蝕刻奈米結構的通道區120B,造成閘極開口1052B包覆奈米結構的通道區122B,如圖11B與11D所示。在形成場效電晶體102B的奈米結構的通道區122B之後,可自閘極開口1052A移除光阻層1150以形成圖12A至12D的結構。
可依據自場效電晶體102A與102B移除的奈米結構的通道區122B與120B的厚度,及/或在形成閘極開口1052A與1052B之後額外蝕刻奈米結構的通道區120B與122B,調整閘極開口1052A與1052B沿著Z軸的垂直尺寸(如空間)。垂直空間可為約8 nm至約12 nm,使至少界面氧化物層127A與127B以及閘極介電層128A與128B包覆奈米結構的通道區120B與122B,可填入閘極開口1052A與1052B,以在操作場效電晶體102A與102B時分別避免閘極結構112A與112B以及源極/汲極區126A與126B之間的短路。在一些實施例中,垂直尺寸的數值可為約8 nm至約12 nm,使至少界面氧化物層127A與127B、閘極介電層128A與128B、閘極的功函數金屬層130A與130B包覆奈米結構的通道區120B與122B,可填入閘極開口1052A與1052B以分別達到場效電晶體102A與102B所用的超低臨界電壓。
如圖3所示,步驟325至350形成全繞式閘極結構於奈米結構的通道區上。舉例來說,採用步驟325至350中所述的步驟,可形成閘極結構112A與112B以分別包覆奈米結構的通道區120B與122B,如圖13A至18D與圖1A至1D所示。
在步驟325中,可沉積並退火界面氧化物層以及閘極介電層於奈米結構的通道區上。舉例來說,可分別沉積並退火界面氧化物層127A與127B以及閘極介電層128於奈米結構的通道區120B與122B上,如圖13A至14B所示。在後續製程時,閘極介電層128可形成閘極介電層128A與128B,如圖1A至1D所示。
界面氧化物層127A與127B可分別形成於閘極開口1052A與1052B中的奈米結構的通道區120B與122B之露出表面上。在一些實施例中,界面氧化物層127A與127B的形成方法可為暴露奈米結構的通道區120B與122B至氧化環境。舉例來說,氧化環境可包含臭氧,氫氧化銨、過氧化氫、與水的混合物(SC1溶液),及/或氯化氫、過氧化氫、與水的混合物(SC2溶液)。氧化製程所形成的氧化物層(厚約0.5 nm至約1.5 nm)可形成於奈米結構的通道區120B與122B的露出表面上。
閘極介電層128的沉積方法,可包含在形成界面氧化物層127A與127B之後(未圖示),順應性地沉積閘極介電層128於部分的半導體裝置100上。順應性沉積的閘極介電層128可實質上順應性地沉積於界面氧化物層127A與127B以及部分的半導體裝置100的露出表面(比如閘極開口1052A與1052B的側壁與層間介電層118的上表面)上,如圖13A與13B所示。在一些實施例中,閘極介電層128包含的介電材料其介電常數可高於約3.9。在一些實施例中,閘極介電層128可包含(i)氧化矽、氮化矽、及/或氮氧化矽;(ii)高介電常數的介電材料如氧化鉿、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽;(iii)高介電常數的介電材料,其具有鋰、鈹、鎂、鈣、鍶、鈧、钇、鋯、鋁、鑭、鈰、鐠、釹、釤、銪、釓、鋱、鏑、鈥、鉺、銩、鐿、或鎦的氧化物;或(iv)上述之組合。具有高介電常數的介電層(如氧化鉿)之閘極介電層128其形成方法可為原子層沉積及/或其他合適方法。在一些實施例中,閘極介電層128的形成方法可為原子層沉積,其採用四氯化鉿作為前驅物,且沉積溫度為約250℃至約350℃。在一些實施例中,閘極介電層128的厚度為約1 nm至約3 nm以包覆奈米結構的通道區120B與122B,而不限於相鄰的奈米結構的通道區120B之間的空間與相鄰的奈米結構的通道區122B之間的空間。
形成界面氧化物層127A與127B以及閘極介電層128之後,可對閘極介電層128上的阻障層(未圖示)進行三階段的退火製程,以改善界面氧化物層127A與127B及/或閘極介電層128的電性及/或可信度。第一階段的退火製程可包括依序進行下述步驟:(i)順應性地沉積金屬氮化物蓋層1354 (見圖13A與13B)於閘極介電層128上;(ii)原位順應性地沉積矽蓋層1356於金屬氮化物蓋層1354上;以及(iii)在原位順應性地沉積矽蓋層1356之後所形成的部分半導體裝置100 (未圖示)上進行第一尖峰退火製程。
在一些實施例中,金屬氮化物蓋層1354可包含氮化鈦矽或氮化鈦,且其沉積方法可為採用四氯化鈦、矽烷、與氨作為前驅物的原子層沉積或化學氣相沉積製程,且沉積溫度為約400℃至約500℃。金屬氮化物蓋層1354的厚度可為約1 nm至約3 nm,且可在後續的第一尖峰退火製程及/或第二尖峰退火製程(如下述)時,與閘極介電層128反應形成阻障層(未顯示)於閘極介電層128上。在一些實施例中,阻障層可包含厚約1 nm至約3 nm的鉿鈦矽酸鹽。阻障層可設置為在後續製程時,避免元素(如金屬與氧)自上方的層狀物擴散至界面氧化物層127A與127B及/或閘極介電層128中。
原位順應性沉積矽蓋層1356的方法可包括原子層沉積、化學氣相沉積、或物理氣相沉積。在一些實施例中,原位順應性沉積矽蓋層1356的方法可包含採用四氯化鈦與矽烷氣體的浸入製程,其溫度為約400℃至約500℃。浸入製程可包含在金屬氮化物蓋層1354的表面上,流入四氯化鈦氣體約80秒至約100秒,接著流入矽烷氣體約100秒至約200秒。在一些實施例中,矽蓋層1356可包含矽或其化合物,及/或可包含非晶或多晶矽。矽蓋層1356可避免界面氧化物層127A與127B及/或閘極介電層128氧化,進而在後續的退火製程及/或異位製程時避免界面氧化物層127A與127B及/或閘極介電層128額外成長。
第一尖峰退火製程可包含在沉積矽蓋層1356之後形成的部分半導體裝置100 (未圖示)上進行退火製程,其於氮氣中進行,退火溫度為約850℃至約900℃,並歷時約1秒至約5秒。在一些實施例中,第一尖峰退火製程可強化界面氧化物層127A與127B以及閘極介電層128之間的界面之化學鍵,以改善界面氧化物層127A與127B以及閘極介電層128的可信度,進而改善閘極結構112A與112B的可信度。
第二階段的退火製程可包含依序進行下述步驟:(i)在第一尖峰退火製程之後,異位順應性地沉積矽蓋層1358 (見圖13A與13B)於矽蓋層1356上;以及(ii)在異位順應性地沉積矽蓋層1358之後形成的部分半導體裝置100 (未圖示)上進行第二尖峰退火製程。
異位地順應性沉積矽蓋層1358的方法可包括原子層沉積、化學氣相沉積、或物理氣相沉積。在一些實施例中,異位地順應性沉積矽蓋層1358的方法可包括沉積矽為主的層狀物於矽蓋層1356上,其可為採用矽烷、乙矽烷、與氫氣的化學氣相沉積製程,且沉積溫度為約350℃至約450℃。矽蓋層1358的沉積厚度(如約2 nm至約5 nm)約為矽蓋層1356的厚度的約2倍至約5倍。較厚的矽蓋層1358可避免界面氧化物層127A與127B及/或閘極介電層128在後續的第二尖峰退火製程時氧化,且第二尖峰退火製程的溫度高於第一尖峰退火製程的溫度。第二尖峰退火製程可在氮氣環境下進行,退火溫度為約900℃至約950℃,且退火時間為約1秒至約10秒。
第三階段的退火製程可包含依序進行下述步驟:(i)在第二尖峰退火製程之後(見圖14A與14B),移除金屬氮化物蓋層1354、原位形成的矽蓋層1356、與異位形成的矽蓋層1358;以及(ii)在圖14A與14B的結構上進行第三尖峰退火製程。可由濕蝕刻製程移除金屬氮化物蓋層1354、原位形成的矽蓋層1356、與異位形成的矽蓋層1358。在一些實施例中,濕蝕刻製程可包含在稀氫氟酸、氫氧化鉀溶液中、SC1溶液中、或上述之組合進行蝕刻。可在氨氣中進行第三尖峰退火製程,且退火溫度為約850℃至約950℃。第三尖峰退火製程可將氮結合至閘極介電層128中以移除缺陷(如來自閘極介電層128的氧空缺),因此可改善閘極結構112A與112B的可信度(如圖1A所示)。在一些實施例中,第一尖峰退火製程與第三尖峰退火製程的退火溫度可彼此類似或不同。在一些實施例中,第二尖峰退火製程的退火溫度可高於第一尖峰退火製程與第三尖峰退火製程的退火溫度。
如圖3所示,步驟330選擇性地形成p型功函數金屬層於p型場效電晶體之奈米結構的通道區上。舉例來說,可選擇性地形成p型功函數金屬層130B*於場效電晶體102B的奈米結構的通道區122B上,如圖15A至16B所示。在後續製程時,p型功函數金屬層130B*可形成p型功函數金屬層130B,如圖1A至1C所示。選擇性形成p型功函數金屬層130B*於奈米結構的通道區122B上的製程,可包含依序進行下述步驟:(i)在第三尖峰退火製程之後,順應性地沉積p型功函數金屬層130B* (見圖15A與15B)於圖14A與14B的結構上;(ii)選擇性形成遮罩層1560 (如光阻層或氮化物層)於場效電晶體102B的p型功函數金屬層130B*的部分上,如圖15A所示;(iii)選擇性移除場效電晶體102A的p型功函數金屬層130B*的部分,已形成圖16B的結構;以及(iv)移除遮罩層1560。
順應性沉積p型功函數金屬層130B*的方法可包含順應性沉積厚約1 nm至約3 nm的無鋁p型功函數金屬層,沉積方法為採用四氯化鈦與氨作為前驅物的原子層沉積或化學氣相沉積製程,且沉積溫度為約350℃至約475℃。在一些實施例中,無鋁的p型功函數金屬層之沉積方法可為約30次至約90次循環的原子層沉積製程,而一次循環可包含依序進行的下述步驟: (i)流入第一前驅物氣體如四氯化鈦;(ii)清除第一前驅物氣體;(iii)流入第二前驅物氣體如氨;以及(iv)清除第二前驅物氣體。在一些實施例中,無鋁的p型功函數金屬層可包含實質上無鋁(比如無鋁)之鈦為主的氮化物或合金。順應性沉積的p型功函數金屬層130B*可實質上順應性(比如階梯覆蓋率為約99%)地沉積於圖14A與14B的結構上。
選擇性形成遮罩層1560的步驟可包括沉積與圖案化光阻層或氮化物層於p型功函數金屬層130B*上,以形成圖15A與15B的結構。選擇性移除遮罩層1560未保護的p型功函數金屬層130B*的部分之方法可包含濕蝕刻製程,其採用的蝕刻劑對p型功函數金屬層130B*的蝕刻選擇性高於遮罩層1560。在一些實施例中,蝕刻劑可包含氫氧化銨、過氧化氫、與水的混合物(SC1溶液)及/或氯化氫、過氧化氫、與水的混合物(SC2溶液),且蝕刻時間可為約2分鐘至約5分鐘。在濕蝕刻製程之後可移除遮罩層1560。
如圖3所示,步驟335選擇性地沉積金屬成長抑制層於p型功函數金屬層上。舉例來說,金屬成長抑制層131*可選擇性地沉積於p型功函數金屬層130B*上,如圖16A與16B所示。在後續製程時,金屬成長抑制層131*可形成金屬成長抑制層131,如圖1A至1C所示。選擇性沉積金屬成長抑制層131*的方法可包含依序進行下述步驟: (i)在形成p型功函數金屬層130B*之後,在部分的半導體裝置100上進行採用金屬前驅物的第一浸入製程(未圖示);以及(ii)進行採用矽前驅物的第二浸入製程,以形成圖16A的結構。第一浸入製程與第二浸入製程可為原位製程。
第一浸入製程可包含在原子層沉積或化學氣相沉積的腔室中,流入金屬前驅物如四氯化鈦約80秒至約100秒,製程溫度為約300℃至約500℃,且製程壓力為約3 torr至約30 torr。金屬前驅物在金屬表面(如p型功函數金屬層130B*)上具有較高的沉積選擇性(與介電表面如圖16B的n型場效電晶體102A的閘極介電層128的部分相較),使第一浸入製程時沉積於閘極介電層128上的金屬層無實質厚度(比如厚度約0 nm),而沉積於p型功函數金屬層130B*上的金屬層(如鈦層)的厚度可為約0.1 nm至約0.5 nm。
第二浸入製程可包含在原子層沉積或化學氣相沉積的腔室中,流入矽前驅物如矽烷約4分鐘至約6分鐘,製程溫度為約300℃至約500℃,且製程壓力為約3 torr至約30 torr。矽前驅物在p型功函數金屬層130B*上的金屬層上具有較高沉積選擇性(與n型場效電晶體102A的閘極介電層128之部分相較,見圖16B)。如此一來,在第二浸入製程時沉積於閘極介電層128上的金屬成長抑制層131*無實質厚度(比如厚度約0 nm,見圖16B),而沉積於p型功函數金屬層130B*上的金屬成長抑制層131*可具有約0.5 nm至約2 nm的厚度(見圖16A)。選擇性沉積的金屬成長抑制層131*,可實質上順應性沉積(比如階梯覆蓋率為約99%)於p型功函數金屬層130B*上,如圖16A所示。
如圖3所示,步驟340選擇性沉積n型功函數層於n型場效電晶體的閘極介電層的一部分上。舉例來說,n型功函數金屬層130A*可選擇性沉積於n型場效電晶體102A的閘極介電層128上,如圖17A與17B所示。在後續製程中,n型功函數金屬層130A*可形成n型功函數金屬層130A,如圖1A、1B、與1D所示。選擇性沉積n型功函數金屬層130A*所用的製程,可包含在圖16A與16B的結構上進行原子層沉積或化學氣相沉積製程,其採用金屬與鋁前驅物。金屬與鋁前驅物對沉積於閘極介電層128上具有較高的沉積選擇性(相對於沉積於金屬成長抑制層131*上)。如此一來,在原子層沉積或化學氣相沉積製程時,鋁為主的n型功函數金屬層130A*沉積於金屬成長抑制層131*上的厚度實質上為0 (比如厚度為約0 nm,見圖17A),而鋁為主的n型功函數金屬層130A*沉積於閘極介電層128上的厚度為約1 nm至約3 nm (見圖17B)。選擇性沉積之鋁為主的n型功函數金屬層130A*,可實質上順應性地沉積(比如階梯覆蓋率為約99%)於閘極介電層128上,如圖17B所示。
在一些實施例中,選擇性沉積n型功函數金屬層130A*的製程可包括原子層沉積或化學氣相沉積製程,其採用四氯化鈦與鈦乙烯鋁或者氯化鉭與三甲基鋁作為前驅物,且沉積溫度為約350℃至約450℃。在一些實施例中,n型功函數金屬層130A*的沉積方法為約4至12次循環的原子層沉積製程,且每一循環可包含依序進行(i)流入第一前驅物氣體如四氯化鈦或五氯化鉭;(ii)清除第一前驅物氣體;(iii)流入第二前驅物氣體如鈦乙烯鋁或三甲基鋁;以及(iv)清除第二前驅物氣體。在一些實施例中,n型功函數金屬層130A*可包含鈦鋁、碳化鈦鋁、鉭鋁、碳化鉭鋁、或上述之組合。在一些實施例中,除了選擇性沉積鋁為主的n型功函數金屬層130A*之外,亦可由採用金屬前驅物的原子層沉積或化學氣相沉積,選擇性地沉積金屬化層(如氮化鈦、碳化鈦、氮化鉭、或碳化鉭)於n型場效電晶體102A的閘極介電層128之部分上,接著進行鋁摻質的摻雜製程以形成n型功函數金屬層130A*。
如圖3所示,步驟345沉積金屬蓋層與閘極金屬填充層於n型功函數金屬層與p型功函數金屬層上。舉例來說,可沉積金屬蓋層132於n型功函數金屬層130A*與p型功函數金屬層130B*上,並可沉積閘極金屬填充層135於金屬蓋層132上,如圖18A與18B所示。在後續製程時,金屬蓋層132以及閘極金屬填充層135可分別形成金屬蓋層132A與132B以及閘極金屬填充層135A與135B,如圖1A至1D所示。
沉積金屬蓋層132可包含順應性地沉積無鋁金屬化層(如鈦、氮化鈦、氮化鈦矽、鉭、氮化鉭、氮化鉭矽、氮化鎢、碳氮化鎢、其他合適的金屬氮化物、金屬合金、或上述之組合)於n型功函數金屬層130A*與p型功函數金屬層130B*上。順應性沉積金屬化層的方法可包含以原子層沉積或化學氣相沉積製程順應性地沉積約1nm至約3nm厚的金屬化層,沉積製程採用金屬前驅物(如四氯化鈦)與氨,且沉積溫度為約350℃至約475℃。在一些實施例中,金屬化層的沉積方法為約30至90次循環的原子層沉積製程,且每一循環可包含依序進行(i)流入第一前驅物氣體如四氯化鈦;(ii)清除第一前驅物氣體;(iii)流入第二前驅物氣體如氨;以及(iv)清除第二前驅物氣體。接著可順應性沉積(階梯覆蓋率為約99%)順應性沉積的金屬蓋層132於圖17A與17B的結構上。
沉積金屬蓋層132之後,可沉積閘極金屬填充層135於金屬蓋層132上,直到填滿閘極開口1052A與1052B,如圖18A與18B所示。沉積閘極金屬填充層135的方法可包含採用氧氣與無氟及/或無氯的金屬前驅物之化學氣相沉積製程,以毯覆性地沉積厚約150 nm至約200 nm的金屬層。無氟及/或無氯的金屬前驅物可為有機金屬前驅物如η4-2,3-二甲基丁二烯釕三羰基釕或乙醯丙酮銥,且化學氣相沉積製程的溫度可為約225℃至約400℃。
如圖3所示,步驟350可研磨閘極介電層、p型功函數金屬層、n型功函數金屬層、金屬成長抑制層、金屬蓋層、與閘極金屬填充層。舉例來說,可由化學機械研磨製程研磨閘極介電層128、n型功函數金屬層130A*與p型功函數金屬層130B*、金屬成長抑制層131*、金屬蓋層132、與閘極金屬填充層135,使閘極介電層128、n型功函數金屬層130A*與p型功函數金屬層130B*、金屬成長抑制層131*、金屬蓋層132、與閘極金屬填充層135的上表面與層間介電層118的上表面實質上共平面,如圖1A至1D所示。研磨製程可形成圖1A至1D所示的閘極結構112A與112B。如此一來,如步驟325至350所述,可採用先形成p型功函數金屬的方案形成閘極結構112A與112B,其先形成無鋁的p型功函數金屬層(如p型功函數金屬層130B*),再形成鋁為主的n型功函數金屬層(如n型功函數金屬層130A*),以避免或實質上減少鋁為主的n型功函數金屬層的鋁汙染無鋁的p型功函數金屬層。
形成閘極結構112A與112B之後,可形成其他單元如源極/汲極接點、閘極接點、通孔、內連線金屬層、介電層、鈍化層、或類似物,且未顯示其他單元以求圖式清楚。
本發明實施例提供場效電晶體(如n型場效電晶體102A與p型場效電晶體102B)的結構例子與形成這些場效電晶體於相同基板(如基板106)上的方法例子,且場效電晶體具有不同的閘極結構(如閘極結構112A與112B)以設置為提供超低臨界電壓。這些例子的方法形成不同導電型態且不同功函數值的場效電晶體,使場效電晶體具有不同及/或超低的臨界電壓。這些例子的方法在製作具有奈米結構的通道區與超低臨界電壓的場效電晶體中的可信閘極結構時,較不複雜且成本較低(相較於形成具有類似通道尺寸與臨界電壓的場效電晶體於相同基板上的其他方法)。此外,這些例子的方法所形成的場效電晶體的閘極結構具有較小尺寸如較薄的閘極堆疊(與形成類似臨界電壓的場效電晶體的其他方法相較)。舉例來說,採用這些例子的方法,可使閘極堆疊層的厚度減少約50%至約75% (與採用其他方法所形成的閘極堆疊層之厚度相較)。
在一些實施例中,具有不同閘極堆疊層設置的n型場效電晶體(如n型場效電晶體102A)與p型場效電晶體(如p型場效電晶體102B),可選擇性地形成於相同基板上。為了達到超低臨界電壓的n型場效電晶體與p型場效電晶體,n型場效電晶體與p型場效電晶體可分別包含鋁為主的n型場效電晶體的閘極堆疊與實質上無鋁(如無鋁)的p型場效電晶體的閘極堆疊。n型場效電晶體與p型場效電晶體的閘極堆疊可分別具有n型功函數金屬層(如n型功函數金屬層130A)與p型功函數金屬層(如p型功函數金屬層130B),其物理接觸n型場效電晶體與p型場效電晶體的閘極介電層(如閘極介電層128A與128B)。n型場效電晶體的閘極堆疊可包含鋁為主的n型功函數金屬層(如鋁為主的鈦合金或鉭合金),而p型場效電晶體的閘極堆疊可包含厚度小於3 nm (如約0.5 nm至約 3 nm)且實質上無鋁的p型功函數金屬層(如無鋁的鈦獲鉭的氮化物或合金)以達超低臨界電壓。在一些實施例中,p型場效電晶體的閘極堆疊可具有金屬成長抑制層(如金屬成長抑制層131),其設置為在形成n型場效電晶體的閘極堆疊中的鋁為主的n型功函數金屬層時,抑制鋁為主的層狀物沉積於p型場效電晶體的閘極堆疊中。如此一來,抑制層可避免鋁原子汙染p型場效電晶體閘極堆疊,其可降低p型功函數金屬層的功函數值並增加p型場效電晶體的臨界電壓。在一些實施例中,n型場效電晶體的閘極結構可具有金屬蓋層於鋁為主的n型功函數金屬層上。金屬蓋層可避免鋁為主的n型功函數金屬層氧化,因此可避免增加鋁為主的n型功函數金屬層的功函數增加,並避免n型場效電晶體的臨界電壓增加。
在一些實施例中,半導體裝置包括:基板;交錯配置的多個第一奈米結構層與多個第二奈米結構層的第一堆疊與第二堆疊,設置於基板上;相反導電型態的第一磊晶區與第二磊晶區,分別位於第一堆疊與第二堆疊上;多個第一奈米結構的通道區與多個第二奈米結構的通道區,分別位於第一堆疊與第二堆疊的第一奈米結構層與第二奈米結構層中;以及第一全繞式閘極結構與第二全繞式閘極結構,分別圍繞第一奈米結構的通道區與第二奈米結構的通道區。第一全繞式閘極結構包括鋁為主的閘極堆疊,其具有第一閘極介電層、鋁為主的n型功函數金屬層、第一金屬蓋層、與第一閘極金屬填充層。第二全繞式閘極結構包括無鋁的閘極堆疊,其具有第二閘極介電層、無鋁的p型功函數金屬層、金屬成長抑制層、第二金屬蓋層、與第二閘極金屬填充層。
在一些實施例中,金屬成長抑制層位於無鋁的p型功函數金屬層上。
在一些實施例中,金屬成長抑制層物理接觸無鋁的p型功函數金屬層。
在一些實施例中,金屬成長抑制層圍繞第二奈米結構的通道區。
在一些實施例中,鋁為主的n型功函數金屬層物理接觸第一閘極介電層。
在一些實施例中,無鋁的p型功函數金屬層物理接觸第二閘極介電層。
在一些實施例中,第一金屬蓋層設置為阻擋氧擴散至鋁為主的n型功函數金屬層中。
在一些實施例中,半導體裝置更包括金屬層位於無鋁的p型功函數金屬層與金屬成長抑制層之間。
在一些實施例中,鋁為主的n型功函數金屬層之功函數值與第一奈米結構的通道區之導帶能量之間的差距,小於與第一奈米結構的通道區之價帶能量之間的差距。
在一些實施例中,無鋁的p型功函數金屬層之功函數值與第二奈米結構的通道區之價帶能量之間的差距,小於與第二奈米結構的通道區之導帶能量之間的差距。
在一些實施例中,半導體裝置包括:基板;交錯配置的多個第一奈米結構層與多個第二奈米結構層的第一堆疊與第二堆疊,設置於基板上;第一奈米結構的通道區與第二奈米結構的通道區,分別位於第一堆疊與第二堆疊的第一奈米結構層與第二奈米結構層中;第一閘極結構,位於第一奈米結構的通道區上;以及第二閘極結構,位於第二奈米結構的通道區上。第一閘極結構包括鋁為主的閘極堆疊,其具有第一閘極介電層、鋁為主的n型功函數金屬層、第一金屬蓋層、與第一閘極金屬填充層。第二閘極結構包括無鋁的閘極堆疊,其具有第二閘極介電層、無鋁的p型功函數金屬層、金屬成長抑制層、第二金屬蓋層、與第二閘極金屬填充層。
在一些實施例中,金屬成長抑制層物理接觸無鋁的p型功函數金屬層。
在一些實施例中,金屬成長抑制層圍繞第二奈米結構的通道區。
在一些實施例中,半導體裝置更包括金屬層位於無鋁的p型功函數金屬層與金屬成長抑制層之間。
在一些實施例中,半導體裝置的製作方法包括:形成交錯配置的多個第一奈米結構層與多個第二奈米結構層之第一堆疊與第二堆疊於一基板上;分別形成多個第一奈米結構的通道區與多個第二奈米結構的通道區於第一堆疊與第二堆疊的第一奈米結構層與第二奈米結構層中;選擇性地形成無鋁的p型功函數金屬層於第一奈米結構的通道區上;選擇性地沉積金屬成長抑制層於無鋁的p型功函數金屬層上;選擇性地沉積鋁為主的n型功函數金屬層於第二奈米結構的通道區上;沉積金屬蓋層於無鋁的p型功函數金屬層與鋁為主的n型功函數金屬層上;以及沉積無氟或無氯的閘極金屬填充層於金屬蓋層上。
在一些實施例中,選擇性形成無鋁的p型功函數金屬層之步驟包括:沉積無鋁的金屬化材料層於第一奈米結構的通道區與第二奈米結構的通道區上,其中無鋁的金屬化材料層之功函數值與第二奈米結構的通道區之價帶能量之間的差距,小於與第二奈米結構的通道區之導帶能量之間的差距;選擇性形成遮罩層於第一奈米結構的通道區上的無鋁金屬化材料層之第一部份上;以及蝕刻第二奈米結構的通道區上的無鋁金屬化材料層之第二部分。
在一些實施例中,選擇性沉積金屬成長抑制層的步驟包括:在無鋁的p型功函數金屬層上,進行具有金屬前驅物的第一浸入製程;以及在無鋁的p型功函數金屬層上,進行具有矽前驅物的第二浸入製程。
在一些實施例中,選擇性沉積鋁為主的n型功函數金屬層之步驟包括:沉積鋁為主的金屬化材料層於第二奈米結構的通道區上,其中鋁為主的金屬化材料層之功函數值與第二奈米結構的通道區之導帶能量之間的差距,小於與第二奈米結構的通道區之價帶能量之間的差距。
在一些實施例中,選擇性沉積鋁為主的n型功函數金屬層的步驟包括:沉積金屬化材料層於第二奈米結構的通道區上;以及以鋁摻質摻雜金屬化材料層,以形成鋁為主的金屬化材料層,其功函數值與第一奈米結構的通道區之導帶能量之間的差距,小於與第一奈米結構的通道區之價帶能量之間的差距。
在一些實施例中,選擇性形成無鋁的p型功函數金屬層的步驟,在選擇性沉積鋁為主的n型功函數金屬層的步驟之前。
上述內容已說明幾個實施例的特徵,以利本技術領域中具有通常知識者理解詳細說明。 本技術領域中具有通常知識者應理解,本發明實施例明顯可作為設計或調整其他製程和結構的基礎,以實現此處介紹的實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效構造並未脫離本發明實施例的精神與範疇,且在不脫離本發明實施例的精神與範疇的前提下,可進行多種改變、取代、或變更。
B-B,C-C,D-D:剖線 H1,H2,H3,H4:垂直尺寸 L1,L2,W1,W2,W3,W4:水平尺寸 100:半導體裝置 102A,102B:場效電晶體 106:基板 108*:堆疊層 108A,108A*,108B,108B*:鰭狀結構 109,109A,109B:鈍化層 110A,110B: 磊晶的鰭狀物區 110As,110Bs,112As,112Bs:子區 112A,112B:閘極結構 112A*,112B*:多晶矽結構 113A,113B:內側間隔物 114A,114B:外側間隔物 116:蝕刻停止層 118:層間介電層 119A,119B:鰭狀物為主的部分 120,120*:第一半導體層 120A,122A:奈米結構區 120B,122B:奈米結構的通道區 122,122*:第二半導體層 126A,126B:源極/汲極區 127A,127B:界面氧化物層 128,128A,128B:閘極介電層 130A,130A*,130B,130B*:功函數金屬層 131,131*:金屬成長抑制層 132,132A,132B:金屬蓋層 135,135A,135B:閘極金屬填充層 138:淺溝槽隔離區 300:方法 305,310,315,320,325,330,335,340,345,350:步驟 640A,640B:保護氧化物層 642A,642B:硬遮罩層 643:空間 746,846,950,1150:光阻層 1052A,1052B:閘極開口 1354:金屬氮化物蓋層 1356,1358:矽蓋層 1560:遮罩層
圖1A、1B、1C、與1D係一些實施例中,具有不同閘極結構的半導體裝置之等角圖與剖視圖。 圖2A與2B係一些實施例中,半導體裝置的不同設置之剖視圖。 圖3係一些實施例中,製作具有不同閘極結構的半導體裝置之方法的流程圖。 圖4A至12A係一些實施例中,具有不同閘極結構的半導體裝置於製作製程的多種階段中的等角圖。 圖4B至18B、7C至12C、7D至12D、與圖13A至18A係一些實施例中,具有不同閘極結構的半導體裝置於製作製程的多種階段中的剖視圖。
H1,H2:垂直尺寸
W1,W2,:水平尺寸
102A,102B:場效電晶體
106:基板
108A,108B:鰭狀結構
112A,112B:閘極結構
114A,114B:外側間隔物
116:蝕刻停止層
120B,122B:奈米結構的通道區
127A,127B:界面氧化物層
128A,128B:閘極介電層
130A,130B:功函數金屬層
131:金屬成長抑制層
132A,132B:金屬蓋層
135A,135B:閘極金屬填充層
138:淺溝槽隔離區

Claims (20)

  1. 一種半導體裝置,包括: 一基板; 交錯配置的多個第一奈米結構層與多個第二奈米結構層的一第一堆疊與一第二堆疊,設置於該基板上; 相反導電型態的一第一磊晶區與一第二磊晶區,分別位於該第一堆疊與該第二堆疊上; 多個第一奈米結構的通道區與多個第二奈米結構的通道區,分別位於該第一堆疊與該第二堆疊的該些第一奈米結構層與該些第二奈米結構層中;以及 一第一全繞式閘極結構與一第二全繞式閘極結構,分別圍繞該些第一奈米結構的通道區與該些第二奈米結構的通道區, 其中該第一全繞式閘極結構包括一鋁為主的閘極堆疊,其具有一第一閘極介電層、一鋁為主的n型功函數金屬層、一第一金屬蓋層、與一第一閘極金屬填充層,以及 其中該第二全繞式閘極結構包括一無鋁的閘極堆疊,其具有一第二閘極介電層、一無鋁的p型功函數金屬層、一金屬成長抑制層、一第二金屬蓋層、與一第二閘極金屬填充層。
  2. 如請求項1之半導體裝置,其中該金屬成長抑制層位於該無鋁的p型功函數金屬層上。
  3. 如請求項1之半導體裝置,其中該金屬成長抑制層物理接觸該無鋁的p型功函數金屬層。
  4. 如請求項1之半導體裝置,其中該金屬成長抑制層圍繞該些第二奈米結構的通道區。
  5. 如請求項1之半導體裝置,其中該鋁為主的n型功函數金屬層物理接觸該第一閘極介電層。
  6. 如請求項1之半導體裝置,其中該無鋁的p型功函數金屬層物理接觸該第二閘極介電層。
  7. 如請求項1之半導體裝置,其中該第一金屬蓋層設置為阻擋氧擴散至該鋁為主的n型功函數金屬層中。
  8. 如請求項1之半導體裝置,更包括一金屬層位於該無鋁的p型功函數金屬層與該金屬成長抑制層之間。
  9. 如請求項1之半導體裝置,其中該鋁為主的n型功函數金屬層之功函數值與該些第一奈米結構的通道區之導帶能量之間的差距,小於與該些第一奈米結構的通道區之價帶能量之間的差距。
  10. 如請求項1之半導體裝置,其中該無鋁的p型功函數金屬層之功函數值與該些第二奈米結構的通道區之價帶能量之間的差距,小於與該些第二奈米結構的通道區之導帶能量之間的差距。
  11. 一種半導體裝置,包括: 一基板; 交錯配置的多個第一奈米結構層與多個第二奈米結構層的一第一堆疊與一第二堆疊,設置於該基板上; 一第一奈米結構的通道區與一第二奈米結構的通道區,分別位於該第一堆疊與該第二堆疊的該些第一奈米結構層與該些第二奈米結構層中; 一第一閘極結構,位於該第一奈米結構的通道區上,其中該第一閘極結構包括一鋁為主的閘極堆疊,其具有一第一閘極介電層、一鋁為主的n型功函數金屬層、一第一金屬蓋層、與一第一閘極金屬填充層;以及 一第二閘極結構,位於該第二奈米結構的通道區上,其中該第二閘極結構包括一無鋁的閘極堆疊,其具有一第二閘極介電層、一無鋁的p型功函數金屬層、一金屬成長抑制層、一第二金屬蓋層、與一第二閘極金屬填充層。
  12. 如請求項11之半導體裝置,其中該金屬成長抑制層物理接觸該無鋁的p型功函數金屬層。
  13. 如請求項11之半導體裝置,其中該金屬成長抑制層圍繞該第二奈米結構的通道區。
  14. 如請求項11之半導體裝置,更包括一金屬層位於該無鋁的p型功函數金屬層與該金屬成長抑制層之間。
  15. 一種半導體裝置的製作方法,包括: 形成交錯配置的多個第一奈米結構層與多個第二奈米結構層之一第一堆疊與一第二堆疊於一基板上; 分別形成多個第一奈米結構的通道區與多個第二奈米結構的通道區於該第一堆疊與該第二堆疊的該些第一奈米結構層與該些第二奈米結構層中; 選擇性地形成一無鋁的p型功函數金屬層於該些第一奈米結構的通道區上; 選擇性地沉積一金屬成長抑制層於該無鋁的p型功函數金屬層上; 選擇性地沉積一鋁為主的n型功函數金屬層於該些第二奈米結構的通道區上; 沉積一金屬蓋層於該無鋁的p型功函數金屬層與該鋁為主的n型功函數金屬層上;以及 沉積一無氟或無氯的閘極金屬填充層於該金屬蓋層上。
  16. 如請求項15 之半導體裝置的製作方法,其中選擇性形成該無鋁的p型功函數金屬層之步驟包括: 沉積一無鋁的金屬化材料層於該些第一奈米結構的通道區與該些第二奈米結構的通道區上,其中該無鋁的金屬化材料層之功函數值與該些第二奈米結構的通道區之價帶能量之間的差距,小於與該些第二奈米結構的通道區之導帶能量之間的差距; 選擇性形成一遮罩層於該些第一奈米結構的通道區上的該無鋁金屬化材料層之一第一部份上;以及 蝕刻該些第二奈米結構的通道區上的該無鋁金屬化材料層之第二部分。
  17. 如請求項15之半導體裝置的製作方法,其中選擇性沉積該金屬成長抑制層的步驟包括: 在該無鋁的p型功函數金屬層上,進行具有一金屬前驅物的一第一浸入製程;以及 在該無鋁的p型功函數金屬層上,進行具有一矽前驅物的一第二浸入製程。
  18. 如請求項15之半導體裝置的製作方法,其中選擇性沉積該鋁為主的n型功函數金屬層之步驟包括:沉積鋁為主的金屬化材料層於該些第二奈米結構的通道區上,其中該鋁為主的金屬化材料層之功函數值與該些第二奈米結構的通道區之導帶能量之間的差距,小於與該些第二奈米結構的通道區之價帶能量之間的差距。
  19. 如請求項15之半導體裝置的製作方法,其中選擇性沉積該鋁為主的n型功函數金屬層的步驟包括: 沉積一金屬化材料層於該些第二奈米結構的通道區上;以及 以鋁摻質摻雜該金屬化材料層,以形成一鋁為主的金屬化材料層,其功函數值與該些第一奈米結構的通道區之導帶能量之間的差距,小於與該些第一奈米結構的通道區之價帶能量之間的差距。
  20. 如請求項15之半導體裝置的製作方法,其中選擇性形成該無鋁的p型功函數金屬層的步驟,在選擇性沉積該鋁為主的n型功函數金屬層的步驟之前。
TW109113137A 2019-05-22 2020-04-20 半導體裝置與其製作方法 TWI742621B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962851211P 2019-05-22 2019-05-22
US62/851,211 2019-05-22
US16/739,676 2020-01-10
US16/739,676 US11088034B2 (en) 2019-05-22 2020-01-10 Gate structures for semiconductor devices

Publications (2)

Publication Number Publication Date
TW202044414A true TW202044414A (zh) 2020-12-01
TWI742621B TWI742621B (zh) 2021-10-11

Family

ID=73052604

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109113137A TWI742621B (zh) 2019-05-22 2020-04-20 半導體裝置與其製作方法

Country Status (5)

Country Link
US (3) US11088034B2 (zh)
KR (1) KR102268944B1 (zh)
CN (1) CN111987096B (zh)
DE (1) DE102020101301A1 (zh)
TW (1) TWI742621B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI766738B (zh) * 2021-01-28 2022-06-01 台灣積體電路製造股份有限公司 半導體裝置和其形成方法
TWI779834B (zh) * 2021-02-26 2022-10-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TWI782638B (zh) * 2021-01-28 2022-11-01 台灣積體電路製造股份有限公司 半導體元件及其製造方法
US11605720B2 (en) 2021-02-26 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate cap

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11264503B2 (en) * 2019-12-18 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures of semiconductor devices
CN113972273A (zh) * 2020-07-24 2022-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20220045591A (ko) * 2020-10-05 2022-04-13 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN112687626B (zh) * 2020-12-24 2023-01-03 中国科学院微电子研究所 一种cfet结构、其制备方法以及应用其的半导体器件
US11688797B2 (en) * 2021-01-04 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and forming method thereof
US11688786B2 (en) * 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11563109B2 (en) 2021-02-19 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11810948B2 (en) * 2021-03-10 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20220367657A1 (en) * 2021-05-12 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control of channel structures for semiconductor devices
US20230011783A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate for gate-all-around devices and methods for forming the same
US20230299085A1 (en) * 2022-03-21 2023-09-21 International Business Machines Corporation Stacked transistors having multiple threshold voltages
WO2024091478A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Surface modifiers for enhanced epitaxial nucleation and wetting

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106847814B (zh) 2011-12-19 2020-12-08 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9583362B2 (en) 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9997414B2 (en) 2014-06-24 2018-06-12 Intel Corporation Ge/SiGe-channel and III-V-channel transistors on the same die
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9859279B2 (en) * 2015-08-17 2018-01-02 International Business Machines Corporation High-k gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9754840B2 (en) * 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
CN106847685A (zh) 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 高k金属栅晶体管的形成方法
US10622356B2 (en) * 2016-01-19 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US9978833B2 (en) * 2016-03-11 2018-05-22 Samsung Electronics Co., Ltd. Methods for varied strain on nano-scale field effect transistor devices
US10770593B2 (en) * 2016-04-01 2020-09-08 Intel Corporation Beaded fin transistor
US10109507B2 (en) * 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine contamination control in semiconductor manufacturing process
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US9881998B1 (en) * 2017-02-02 2018-01-30 International Business Machines Corporation Stacked nanosheet field effect transistor device with substrate isolation
US9847391B1 (en) * 2017-04-05 2017-12-19 Globalfoundries Inc. Stacked nanosheet field-effect transistor with diode isolation
US10566245B2 (en) * 2017-04-26 2020-02-18 Samsung Electronics Co., Ltd. Method of fabricating gate all around semiconductor device
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US11114347B2 (en) 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10157987B1 (en) * 2017-08-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based strap cell structure
US10868127B2 (en) * 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
CN108538837A (zh) * 2018-03-29 2018-09-14 上海华力集成电路制造有限公司 半导体器件及其形成方法
US10957798B2 (en) * 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
US11088034B2 (en) * 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI766738B (zh) * 2021-01-28 2022-06-01 台灣積體電路製造股份有限公司 半導體裝置和其形成方法
TWI782638B (zh) * 2021-01-28 2022-11-01 台灣積體電路製造股份有限公司 半導體元件及其製造方法
US11637180B2 (en) 2021-01-28 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11810961B2 (en) 2021-01-28 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
TWI779834B (zh) * 2021-02-26 2022-10-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11605720B2 (en) 2021-02-26 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate cap
TWI804188B (zh) * 2021-02-26 2023-06-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Also Published As

Publication number Publication date
KR102268944B1 (ko) 2021-06-28
CN111987096A (zh) 2020-11-24
KR20200135724A (ko) 2020-12-03
US20230377993A1 (en) 2023-11-23
CN111987096B (zh) 2023-11-17
DE102020101301A1 (de) 2020-11-26
US11901242B2 (en) 2024-02-13
US20210366785A1 (en) 2021-11-25
TWI742621B (zh) 2021-10-11
US11088034B2 (en) 2021-08-10
US20200373206A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
TWI742621B (zh) 半導體裝置與其製作方法
TW202117857A (zh) 半導體裝置的製作方法
US11594616B2 (en) Field effect transistor with negative capacitance dielectric structures
TWI757734B (zh) 半導體裝置與其形成方法
TWI778504B (zh) 半導體元件及其製造方法
TW202125834A (zh) 半導體裝置的製作方法
TWI807263B (zh) 半導體元件及其製造方法
US20220359698A1 (en) Semiconductor device with multi-threshold gate structure
US11929422B2 (en) Passivation layers for semiconductor devices
TW202125591A (zh) 半導體裝置的製作方法
TW202406154A (zh) 半導體結構及其製造方法
TW202414790A (zh) 半導體裝置及其形成方法