TWI778504B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI778504B
TWI778504B TW110102910A TW110102910A TWI778504B TW I778504 B TWI778504 B TW I778504B TW 110102910 A TW110102910 A TW 110102910A TW 110102910 A TW110102910 A TW 110102910A TW I778504 B TWI778504 B TW I778504B
Authority
TW
Taiwan
Prior art keywords
nanostructure
region
layer
inner spacer
regions
Prior art date
Application number
TW110102910A
Other languages
English (en)
Other versions
TW202135230A (zh
Inventor
彭成毅
李松柏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202135230A publication Critical patent/TW202135230A/zh
Application granted granted Critical
Publication of TWI778504B publication Critical patent/TWI778504B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

揭示一種具有介於源極/汲極區與閘極全環繞結構之間之內間隙壁結構的半導體元件與製造此半導體元件的方法。半導體元件包含基材、具有第一與第二奈米結構區之奈米結構層之堆疊設於基材上、以及設於基材上之第一與第二源極/汲極區。第一與第二源極/汲極區均包含磊晶區環繞包圍每個第一奈米結構區。半導體元件更包含設於第一與第二源極/汲極區之間且環繞包圍每個第二奈米結構區的閘極全環繞結構、分別設於第一與第二源極/汲極區之磊晶次區與閘極全環繞之間的第一與第二內間隙壁、以及設於第一與第二奈米結構區之側壁上的鈍化層。

Description

半導體元件及其製造方法
本揭露實施方式是有關於一種半導體元件及其製造方法。
隨著半導體科技的進步,對於較高儲存容量、較快速處理系統、以及較高效能的需求持續增加。為了滿足這些需求,半導體產業持續縮小半導體元件,例如包含平面式金屬氧化物半導體場效電晶體(MOSFETs)以及鰭式場效電晶體(finFETs)之金屬氧化物半導體場效電晶體(MOSFETs),的尺寸。如此縮小尺寸增加了半導體製造製程的複雜度。
本揭露提供一種半導體元件,包含基材、奈米結構層之堆疊、第一源極/汲極區與第二源極/汲極區、閘極全環繞結構、第一內間隙壁、第二內間隙壁、以及鈍化層。奈米結構層之堆疊設於基材上且具有第一奈米結 構區和第二奈米結構區。第一源極/汲極區和第二源極/汲極區設於基材上,其中每個第一源極/汲極區與第二源極/汲極區包含磊晶區環繞包圍每個第一奈米結構區。閘極全環繞(GAA)結構設於第一源極/汲極區與第二源極/汲極區之間且環繞包圍每個第二奈米結構區。第一內間隙壁設於第一源極/汲極區之磊晶次區與閘極全環繞結構的閘極次區之間。第二內間隙壁設於第二源極/汲極區之磊晶次區與閘極全環繞結構的閘極次區之間。鈍化層設於第一奈米結構區與第二奈米結構區之側壁上。
本揭露更提供一種半導體元件,包含基材、第一場效電晶體、以及第二場效電晶體。第一場效電晶體包含第一奈米結構層之堆疊、第一磊晶區、第一閘極全環繞結構、以及第一內間隙壁與第二內間隙壁。第一奈米結構層之堆疊設於基材上,其中每個第一奈米結構層包含第一奈米結構區與第二奈米結構區。第一磊晶區環繞包圍每個第一奈米結構區。第一閘極全環繞結構設於第一奈米結構層之堆疊上且環繞包圍每個第二奈米結構區。第一內間隙壁與第二內間隙壁設於第一奈米結構層之堆疊中。第二場效電晶體包含第二奈米結構層之堆疊、第二磊晶區、第二閘極全環繞結構、以及第三內間隙壁與第四內間隙壁。第二奈米結構層之堆疊設於基材上,其中第二奈米結構層具有與第一奈米結構層不同之材料組成,且每個第二奈米結構層包含第三奈米結構區與第四奈米結構區。第二磊晶區環繞包圍每個第三奈米結構區, 且第二磊晶區之導電類型不同於第一磊晶區。第二閘極全環繞結構設於第二奈米結構層之堆疊上且環繞包圍每個第四奈米結構區。第三內間隙壁與第四內間隙壁設於第二奈米結構層之堆疊中,其中第三內間隙壁與第四內間隙壁具有不同於第一內間隙壁與第二內間隙壁的材料組成。
本揭露提供一種半導體元件之製造方法,包含形成第一奈米結構層之堆疊於基材上,第一奈米結構層具有第一奈米結構區和第二奈米結構區、成長第一磊晶區與第二磊晶區環繞包圍每個第一奈米結構區、形成閘極全環繞結構於第一磊晶區與第二磊晶區之間且環繞包圍每個第二奈米結構區、形成第一內間隙壁與第二內間隙壁沿著閘極全環繞結構之閘極次區的側壁,其中閘極次區嵌設於第一奈米結構層之堆疊中、以及形成鈍化層沿著每個第一奈米結構區與第二奈米結構區的側壁。
100:半導體元件
102A:場效電晶體
102B:場效電晶體
106:基材
108*:堆疊層
108A:鰭狀結構
108B:鰭狀結構
108A*:鰭狀結構
108B*:鰭狀結構
108A2:鰭狀頂部
108B2:鰭狀頂部
109:鈍化層
109A:鈍化層
109B:鈍化層
110A:磊晶區/磊晶鰭狀區
110B:磊晶區/磊晶鰭狀區
110As:次區
110Bs:次區
111:間隔
112A:閘極結構/閘極全環繞結構
112B:閘極結構/閘極全環繞結構
112A*:多晶矽結構
112B*:多晶矽結構
112As:次區
112Bs:次區
113A:內間隙壁
113B:內間隙壁
113As:交界面
113Bs:交界面
114A:外間隙壁
114B:外間隙壁
114As:交界面
114Bs:交界面
116:蝕刻終止層
118:層間介電層
119:鰭狀基部
120:第一半導體層
120A:奈米結構區/奈米結構通道區
120B:奈米結構區/奈米結構通道區
120Bs1:蝕刻輪廓
120Bs2:蝕刻輪廓
121:鰭狀基部
122:第二半導體層
122A:奈米結構區
122B:奈米結構區/奈米結構通道區
122Bs1:蝕刻輪廓
122Bs2:蝕刻輪廓
126A:源極/汲極區
126B:源極/汲極區
128A:閘極介電層
128B:閘極介電層
130A:閘極功函數金屬層
130B:閘極功函數金屬層
132A:閘極金屬填充層
132B:閘極金屬填充層
138:淺溝渠隔離區
138a:氮化層及/或氧化層
138b:絕緣層
300:方法
305:操作
310:操作
315:操作
320:操作
325:操作
330:操作
335:操作
340:操作
740A:保護氧化層
740B:保護氧化層
742A:硬罩幕層
742B:硬罩幕層
743:高深寬比空間
946:光阻層
1048:開口
1346:光阻層
1348:開口
1650:光阻層
1752:開口
1850:光阻層
1852:開口
H1:尺寸
H2:尺寸
H3:尺寸
H4:尺寸
L1:尺寸
L2:尺寸
W1:尺寸
W2:尺寸
W3:尺寸
W4:尺寸
下列詳細的描述配合附圖閱讀可使本揭露的各方面獲得最佳的理解。需注意的是,依據業界的一般實務,許多特徵並未按比例繪示。事實上,可任意增加或減少多種特徵之尺寸以使討論清楚。
圖1A、及圖1B至圖1D係分別繪示依照一些實施方式之一種具內與外間隙壁結構之半導體元件的等角視圖以及剖面視圖。
圖1E、及圖1F與圖1G係分別繪示依照一些實施方式之具鈍化層之半導體元件的等角視圖以及剖面視圖。
圖2A與圖2B係繪示依照一些實施方式之半導體元件之不同型態的剖面視圖。
圖3係繪示依照一些實施方式之製造具內與外間隙壁結構之半導體元件的方法流程圖。
圖4A至圖19A係繪示依照一些實施方式之具內與外間隙壁結構之半導體元件在其製造過程之不同階段的等角視圖。
圖4B至圖19B、圖9C至圖19C、以及圖9D至圖19D係繪示依照一些實施方式之具內與外間隙壁結構之半導體元件在其製造過程中之不同階段的剖面視圖。
例示之實施方式將參照所附圖式進行描述。在圖式中,類似的參考數字通常指相同、功能上相似、及/或結構性上相似的元件。
以下揭露提供許多不同實施方式或例子,以實施所提供之標的之不同特徵。以下描述部件及排列的特定例子以簡化本揭露。這些當然僅為例子而非用以作為限制。舉例而言,在描述中,形成第一特徵於第二特徵之上的製程可包含第一特徵與第二特徵以直接接觸形成的實施方式,亦可包含額外特徵形成於第一特徵與第二特徵之間,而使得第一特徵和第二特徵可非直接接觸。在 本文中,第一特徵形成於第二特徵上表示第一特徵係形成以與第二特徵直接接觸。除此之外,本揭露可在多個例子中重複參考符號及/或字母。此重複並非本質上規定在所討論之多個實施方式及/或配置之間的關係。
可在此使用空間關係的用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」、以及相似用語,以簡明描述如圖式所繪示之一元件或特徵與另一(另一些)元件或特徵之關係的敘述。空間關係的用語,除了在圖中所描繪的方向外,亦欲包含設備在使用上或操作時的不同方向。設備可以其他方式定向(旋轉90度或其他方向),而本文使用的空間關係描述詞也可依此解讀。
需注意的是,在說明書中作為參考的「一個實施方式」、「一實施方式」、「一例示實施方式」、「示範」等等,表示所描述的實施方式可包含特定特徵、結構、或特性,但每個實施方式可能無需包含特定特徵、結構、或特性。除此之外,這樣的用語不必然指稱相同實施方式。此外,當關於一實施方式描述一特定特徵、結構、或特性時,無論是否明確地描述,關於其他實施方式實現這樣的特徵、結構、或特性時落在熟習此技藝者的知識範圍內。
需了解的是,在此的術語及用語是為了敘述,而非作為限制,因此本說明書之術語及用語由熟習相關技 藝者按照在此的教示來詮釋。
在此所使用的用語「蝕刻選擇比」意指兩種不同材料在相同蝕刻條件下之蝕刻速率的比值。
在此所使用的用語「沉積選擇比」意指兩種不同材料或表面在相同沉積條件下之沉積速率的比值。
在此所使用的用語「高k」意指高介電常數。在半導體裝置結構及製造製程的領域中,高k意指介電常數大於二氧化矽的介電常數(例如,大於3.9)。
在此所使用的用語「p型」定義為以p型摻質,例如硼,所摻雜之結構、層、及/或區域。
在此所使用的用語「n型」定義為以n型摻質,例如磷,所摻雜之結構、層、及/或區域。
在一些實施方式中,用語「約」和「實質」可表示一給定數量的數值的5%內變動(例如,此數值的±1%、±2%、±3%、±4%、±5%)。這些數值當然僅作為例子而非作為限制。需了解的是,用語「約」和「實質」可參考熟習相關技藝者按照在此的教示所詮釋之數值的百分比。
可利用任何適合方法來圖案化在此所揭露之鰭狀結構。舉例來說,可利用一道或多道微影製程圖案化鰭狀結構,微影製程包含雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程結合了微影製程以及自我對準製程,可產生比其他利用單一直寫微影製程可得到之圖案例如更小間距的圖案。舉例而言,在一些 實施方式中,形成犧牲層於基材之上,並利用微影製程予以圖案化。利用自我對準製程在圖案化之犧牲層旁形成間隙壁。接著,移除犧牲層,然後可利用剩餘的間隙壁來圖案化鰭狀結構。
本揭露提供例示結構與方法,以減少場效電晶體元件(例如,鰭狀場效電晶體、閘極全環繞式場效電晶體等)中的寄生電容。減少場效電晶體元件中之寄生電容可改善場效電晶體元件的性能。
在此所揭露之例示結構和方法提供位於場效電晶體元件之源極/汲極(S/D)區與閘極結構之間的內間隙壁,以減少他們之間的寄生電容。寄生電容可能由場效電晶體運作期間源極/汲極區與閘極結構之間的電容耦合所引起,而可能會對場效電晶體元件的效能(例如,在高頻率)造成負面的影響。在一些實施方式中,可將內間隙壁結構設於場效電晶體元件之磊晶源極/汲極區和閘極全環繞(GAA)結構之間,且可包含低k介電材料或氣體。在此所描述之內間隙壁可將場效電晶體元件之源極/汲極區與閘極結構之間的寄生電容,較不具有這樣內間隙壁之場效電晶體元件中的寄生電容降低約20%至約60%。
根據一些實施方式,參照圖1A至圖1D來描述具有場效電晶體102A與102B的半導體元件100。圖1A係繪示依照一些實施方式之半導體元件100的等角視圖。圖1B至圖1D係分別繪示依照一些實施方式之沿 著圖1A之半導體元件100之線B-B、C-C、及D-D的剖面視圖。在一些實施方式中,場效電晶體102A與102B可均為p型場效電晶體或n型場效電晶體,或每個一種電性的場效電晶體。雖然參照圖1A至圖1D討論二個場效電晶體,但半導體元件100可具有任意數量的場效電晶體。除非有另外提及,對於具有相同標號之場效電晶體102A與102B之構件的討論彼此互相適用。半導體元件100的等角視圖與剖面視圖係繪示為說明之目的,而可能並未按照比例繪示。
請參照圖1A至圖1D,可形成場效電晶體102A與102B於基材106上。基材106可為半導體材料,例如但不限於矽。在一些實施方式中,基材106可包含結晶矽基材(例如,晶圓)。在一些實施方式中,基材106可包含(i)元素半導體,例如鍺(Ge);(ii)化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、及/或III-V族半導體材料;(iii)合金半導體,包含矽鍺(SiGe)、碳化矽鍺、鍺錫、矽鍺錫、磷化鎵砷、磷化鎵銦、砷化鎵銦、磷化鎵銦砷、砷化鋁銦、及/或砷化鋁鎵;(iv)絕緣層上矽(SOI)結構;(v)絕緣層上矽鍺(SiGeOI)結構;(vi)絕緣層上鍺(GeOI)結構;(vii)或其組合。此外,基材106可依據設計需求(例如,p型基材或n型基材)進行摻雜。在一些實施方式中,可以p型摻質(例如,硼、銦、鋁、或鎵)或是n型摻質(例如,磷或砷)摻雜基材106。
場效電晶體102A與102B可分別包含鰭狀結構108A與108B、鈍化層109A與109B、磊晶鰭狀區110A與110B、閘極結構112A與112B(亦稱為閘極全環繞結構112A與112B)、內間隙壁113A與113B、以及外間隙壁114A與114B。
如圖1C與圖1D所示,鰭狀結構108A可包含鰭狀基部119以及設置在鰭狀基部119上的第一半導體層120之堆疊,且鰭狀結構108B可包含鰭狀基部121以及第二半導體層122之堆疊。在一些實施方式中,鰭狀基部119和121可包含與基材106相似的材料。可藉由微影圖案化與蝕刻基材106來形成鰭狀基部119與121。每個第一半導體層120可具有(i)由磊晶鰭狀區110A和下方之內間隙壁113A與外間隙壁114A所環繞包圍的奈米結構區120A(圖1A與圖1D);以及(ii)由閘極結構112A所環繞包圍的奈米結構區120B(圖1B與圖1D)。類似地,每個第二半導體層122可具有(i)由磊晶鰭狀區110B和下方之內間隙壁113B與外間隙壁114B所環繞包圍的奈米結構區122A(圖1A與圖1C);以及(ii)由閘極結構112B所環繞包圍的奈米結構區122B(圖1B與圖1C)。在移除奈米結構區122B和120B(未繪示於圖1A至圖1D;繪示於圖16A至圖16D)而形成閘極結構112A與112B後,奈米結構區120B與122B可分別稱為場效電晶體102A與102B的奈米結構通道區120B與122B。
第一半導體層120與第二半導體層122可包含與彼此不同的半導體材料。在一些實施方式中,第一半導體層120與第二半導體層122可包含氧化速率及/或蝕刻選擇比彼此不同的半導體材料。在一些實施方式中,第一半導體層120與第二半導體層122可包含與基材106類似或不同的半導體材料。第一半導體層120與第二半導體層122可包含(i)元素半導體,例如矽或鍺;(ii)化合物半導體,包含III-V族半導體材料;(iii)合金半導體,包含矽鍺、鍺錫、或矽鍺錫;或(iv)其組合。在一些實施方式中,第一半導體層120及第二半導體層122可包含矽鍺,其中鍺含量的範圍係從約25原子百分比至約50原子百分比,而其餘之原子百分比含量為矽,或可包含不具任何實質數量之鍺的矽(例如,不含鍺)。第一半導體層120及/或第二半導體層122的半導體材料可為未摻雜,或可在其磊晶成長製程期間使用:(i)p型摻質,例如硼、銦、或鎵;及/或(ii)n型摻質,例如磷或砷,原位(in-situ)摻雜。在一些實施方式中,若半導體元件100為互補式金屬氧化物半導體(CMOS)元件,第一半導體層120可包含形成n型場效電晶體102A的矽及形成p型場效電晶體102B的矽鍺,或者第一半導體層120可包含形成p型場效電晶體102A的矽鍺及形成n型場效電晶體102B的矽。在一些實施方式中,第一半導體層120及第二半導體層122均可包含形成n型場效電晶體102A與102B的矽,或形成p型場效電 晶體102A與102B的矽鍺。
請參照圖1B,奈米結構通道區120B與122B可具有各別之沿著Z軸的垂直尺寸H1與H2(例如,厚度或直徑),其範圍從約5nm至約30nm;以及各別之沿著Y軸的水平尺寸W1與W2(例如,寬度或直徑),其範圍從約5nm至約30nm。H1/W1與H2/W2的每個比值可從約0.2至約5。雖然長方形剖面之奈米結構通道區120B及122B顯示於圖1B中,奈米結構通道區120B及122B可具有其他幾何形狀(例如,圓形、橢圓形、三角形、或是多邊形)的剖面。此外,奈米結構通道區120B及122B可具有各別之沿著X軸的水平尺寸L1(圖1D)與L2(圖1C),其範圍可從約10nm至約100nm。L1/H1的比值與L2/H2的比值可從約2至約20。在一些實施方式中,尺寸H1與H2、W1與W2、以及L1與L2可分別彼此相等或不同。在一些實施方式中,H1/W1與H2/W2的比值,以及L1/H1與L2/H2的比值可分別彼此相等或不同。
請參照圖1A與圖1B,可將鈍化層109A與109B分別設於奈米結構通道區120B和122B的側壁上以及鰭狀基部119和121的側壁上。在一些實施方式中,可將鈍化層109A設於如圖1D所示之最上方的第一半導體層120的上表面。因為在如下所述之形成場效電晶體102B的期間,部分的鈍化層109B遭移除,因此鈍化層109B並未設於如圖1C所示之第二半導體層 122上。鈍化層109A與109B可改善鰭狀結構108A與108B之這些鈍化層覆蓋之表面的表面品質,透過減少或消除在這些表面上之懸浮鍵誘發的空缺。這些空缺可在場效電晶體102A與102B運作時,捕捉電荷載子,而降低場效電晶體102A與102B的驅動電流。相較於不具有例如鈍化層109A與109B之鈍化層的場效電晶體,減少或消除這些空缺可增加場效電晶體102A與102B的驅動電流約20%至約50%。
在一些實施方式中,鈍化層109A與109B可為氮化物、氧化物、氟化物、氯化物、及/或硫化物薄膜。在一些實施方式中,鈍化層109A與109B可包含氟、氯、氮、氧、氫、氘、及/或硫原子,這些原子可與懸浮鍵鍵結而減少或消除鰭狀結構108A與108B之上述表面上的空缺。鈍化層109A與109B可實質共形地沉積在鰭狀結構108A與108B的這些表面上,且可具有從約0.5nm至約5nm的厚度。
請參照圖1A及圖1C與圖1D,可成長磊晶鰭狀區110A以環繞包圍未位於內間隙壁113A或外間隙壁114A下之奈米結構區120A。類似地,可成長磊晶鰭狀區110B以環繞包圍未位於內間隙壁113B或外間隙壁114B下之奈米結構區122A。在一些實施方式中,如圖1E至圖1G所示,磊晶鰭狀區110B與110A可以分別成長在鰭狀基部121和119上,而不是分別環繞包圍奈米結構區122A和120A。磊晶鰭狀區110A與 110B可包含彼此相似或不同之磊晶成長的半導體材料。在一些實施方式中,磊晶成長的半導體材料可包含與基材106的材料相同或不同之材料。磊晶鰭狀區110A與110B可均具有沿著各別的奈米結構區120A與122A之側壁的厚度,此厚度可從約3nm至約6nm。雖然三角形剖面之磊晶鰭狀區110A與110B顯示於圖1C與圖1D中,但磊晶鰭狀區110A與110B亦可具有其他幾何形狀(例如,長方形、半圓形、或是多邊形)的剖面。
對於p型之場效電晶體102A與102B或n型之場效電晶體102A與102B而言,磊晶鰭狀區110A與110B可分別為p型或n型。在一些實施方式中,若半導體元件100為互補式金屬氧化物半導體元件,磊晶鰭狀區110A與110B可為彼此相對之摻雜型態。P型之磊晶鰭狀區110A與110B可包含矽鍺、矽鍺硼(SiGeB)、鍺硼(GeB)、矽鍺錫硼(SiGeSnB)、III-V族半導體化合物、或其組合,且摻質濃度從約1x1020atoms/cm3至約1x1021atoms/cm3。在一些實施方式中,每一個p型之磊晶鰭狀區110A與110B可具有複數個次區(未繪示),這些次區可包含矽鍺,並可基於例如摻雜濃度、磊晶成長製程條件、及/或鍺相對於矽之相對濃度而彼此不同。每個次區可具有彼此類似或不同的厚度,且厚度可從約0.5nm至約5nm。在一些實施方式中,在第一次區中鍺的原子百分比可小於第二次區中鍺的原子百分比。在一些實施方式中,第一次 區可包含約15原子百分比至約35原子百分比的鍺,而第二次區可包含約25原子百分比至約50原子百分比的鍺,次區中之其餘原子百分比為矽。
根據一些實施方式,p型之磊晶鰭狀區110A與110B的這些次區相對於彼此可具有不同的p型摻質濃度。舉例而言,第一次區可為未摻雜,或可具有低於第二次區之摻質濃度(例如,摻質濃度為約1x1020atoms/cm3至約3x1022atoms/cm3)的摻質濃度(例如,摻質濃度小於約8x1020atoms/cm3)。
在一些實施方式中,n型之磊晶鰭狀區110A與110B可具有複數個n型次區(未繪示)。第一n型次區可具有包含砷化矽(SiAs)、碳化矽(SiC)、或碳磷化矽(SiCP)之材料,摻質濃度從約1x1020atoms/cm3至約1x1021atoms/cm3,厚度從約1nm至約3nm。設於第一n型次區上之第二n型次區可具有包含磷化矽(SiP)之材料,摻質濃度從約1x1020atoms/cm3至約1x1022atoms/cm3。設於第二n型次區上之第三n型次區可具有與第一n型次區之材料組成與厚度相似的材料。
磊晶鰭狀區110A與110B以及他們下方的奈米結構區120A與122A可分別形成源極/汲極區126A與126B。奈米結構通道區120B及122B可分別介於一對源極/汲極區126A與126B之間,如圖1C與圖1D所示。
閘極結構112A與112B可為多層結構,並可分別環繞包圍奈米結構通道區120B與122B,其中閘極結構112A與112B可視為閘極全環繞(GAA)結構或水平閘極全環繞(HGAA)結構,而場效電晶體102A與102B可視為閘極全環繞場效電晶體102A與102B。在閘極結構112A與112B之間的間隔111並未依照比例繪示,且閘極結構112A與112B可彼此分離一任意距離。在一些實施方式中,場效電晶體102A與102B可具有一共用閘極結構,此閘極結構類似閘極結構112A與112B而環繞包圍奈米結構通道區120B和122B。
閘極結構112A與112B可分別包含閘極介電層128A與128B、閘極功函數金屬層130A與130B、以及閘極金屬填充層132A與132B。如圖1B所示,閘極介電層128A可環繞包圍每一個奈米結構通道區120B,以填充相鄰之奈米結構通道區120B間的空間,因而將奈米結構通道區120B彼此電性隔離,並與導電之閘極功函數金屬層130A及閘極金屬填充層132A電性隔離,以防止場效電晶體102A運轉期間閘極結構112A與源極/汲極區126A間的短路。類似地,閘極介電層128B可環繞包圍每個奈米結構通道區122B,以填充相鄰之奈米結構通道區122B間的空間,並將奈米結構通道區122B彼此電性隔離,以及與導電之閘極功函數金屬層130B及閘極金屬填充層132B電性隔離,以防止場效電晶體102B運轉期間閘極結構112B與源 極/汲極區126B間的短路。
每個閘極介電層128A與128B可具有從約1nm至約5nm的厚度,並可包含(i)一層氧化矽、氮化矽、及/或氮氧化矽;(ii)高k介電材料,例如氧化鉿(HfO2)、氧化鈦(TiO2)、氧化鋯鉿(HfZrO)、氧化鉭(Ta2O3)、矽酸鉿(HfSiO4)、氧化鋯(ZrO2)、矽酸鋯(ZrSiO2);(iii)高k介電材料,具有鋰(Li)、鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鈧(Sc)、釔(Y)、鋯(Zr)、鋁(Al)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb)、或鎦(Lu)的氧化物;或(iv)其組合。
如圖1B所示,可以閘極功函數金屬層130A與130B及閘極金屬填充層132A與132B環繞包圍一或多個奈米結構通道區120B與122B。閘極功函數金屬層130A與130B可包含單一金屬層或多個金屬層的堆疊。金屬層的堆疊可包含功函數值彼此相等或不同的金屬。在一些實施方式中,每個閘極功函數金屬層130A與130B可包含鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)、銀(Ag)、碳化鉭(TaC)、矽氮化鉭(TaSiN)、碳氮化鉭(TaCN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鎢(WN)、金屬合金、及/或其組合。在一些實施方式中,每個閘極功函數金屬層130A與130B可包含摻雜鋁的金屬,例如摻雜鋁的鈦、摻雜鋁的氮化 鈦、摻雜鋁的鉭、或摻雜鋁的氮化鉭。在一些實施方式中,每個閘極功函數金屬層130A與130B可具有從約2nm至約15nm的厚度。
在一些實施方式中,閘極阻障層(未繪示)可分別設於閘極介電層128A及128B與閘極功函數金屬層130A及130B之間。閘極阻障層可作為後續閘極功函數金屬層130A與130B製作的成核層,及/或可協助防止金屬(例如,鋁)從閘極功函數金屬層130A與130B實質擴散至下方各層(例如,閘極介電層128A與128B)。閘極阻障層可包含鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、或其他適合擴散阻障的材料。在一些實施方式中,閘極阻障層可包含實質無氟之金屬或含金屬薄膜。此實質無氟之金屬或無氟之含金屬薄膜可包含離子、原子、及/或分子形式之量少於5原子百分比的氟污染物。在一些實施方式中,閘極阻障層可具有約1nm至約10nm的厚度。
每個閘極金屬填充層132A與132B可包含單一金屬層或金屬層的堆疊。金屬層的堆疊可包含彼此不同的金屬。在一些實施方式中,每個閘極金屬填充層132A與132B可包含合適的導電材料,例如鈦、銀(Ag)、鋁、氮化鈦鋁(TiAlN)、碳化鉭(TaC)、碳氮化鉭(TaCN)、矽氮化鉭(TaSiN)、錳(Mn)、鋯、氮化鈦(TiN)、氮化鉭(TaN)、銣(Ru)、鉬(Mo)、氮化鎢(WN)、銅(Cu)、鎢(W)、鈷(Co)、鎳(Ni)、碳化鈦(TiC)、 碳化鈦鋁(TiAlC)、碳化鉭鋁(TaAlC)、金屬合金、及/或其組合。雖然閘極結構112A與112B繪成相似,但場效電晶體102A與102B可具有彼此不同之材料及/或電性(例如,臨界電壓、功函數值)之閘極結構。而且,雖然閘極結構112A與112B繪成具有閘極全環繞結構,但其他閘極結構(例如,垂直閘極全環繞結構或不具有閘極全環繞結構的閘極結構)亦在本揭露之範圍與精神內。
如圖1C與圖1D所示,每個內間隙壁113A可設於磊晶鰭狀區110A的次區110As和閘極結構112A的次區112As之間,而每個內間隙壁113B可設於磊晶鰭狀區110B的次區110Bs和閘極結構112B的次區112Bs之間。每個內間隙壁113A與113B可以分別防止次區110As與112As之間以及次區110Bs與112Bs之間的電容耦合。防止這些次區之間的電容耦合可以減少源極/汲極區126A與126B和閘極結構112A與112B之間的寄生電容,並改善場效電晶體102A與102B的元件效能。
在一些實施方式中,內間隙壁113A與113B可包含介電常數小於約3.9及/或介於約1至約3.5的低k介電材料。在一些實施方式中,低k介電材料可包含矽、氧、碳、及/或氮。內間隙壁113A與113B之低k介電材料中矽、氧、碳、和氮的濃度可取決於內間隙壁113A與113B所需的介電常數。低k介電材料中矽、 氧、碳、和氮之濃度改變可改變所需之介電常數。低k介電材料可包含碳氧氮化矽(SiOCN)、碳氮化矽(SiCN)、氧碳化矽(SiOC)、聚醯亞胺、摻雜碳的氧化物、摻雜氟的氧化物、摻雜氫的氧化物、或其組合。
在一些實施方式中,內間隙壁113A與113B可包含介電常數小於約3.9及/或介於約0.5至約3.5的低k介電氣體。此低k介電氣體可包含空氣、氮氣、氦氣、氬氣、氫氣、或其他適合的介電氣體。在一些實施方式中,內間隙壁113A與113B可以空氣間隙的形式分別位於次區110As與112As之間以及次區110Bs與112Bs之間。在一些實施方式中,內間隙壁113A與113B可具有彼此類似或不同的材料。在一些實施方式中,場效電晶體102A與102B均可具有內間隙壁,例如內間隙壁113A與113B,或場效電晶體102A與102B之一者可具有內間隙壁,例如內間隙壁113A或113B。雖然圖1C與圖1D中繪示出長方形剖面之內間隙壁113A和113B,但內間隙壁113A和113B可具有其他幾何形狀(例如,半圓形、三角形、或多邊形)的剖面。在一些實施方式中,每個內間隙壁113A與113B可具有沿著X軸且約3nm至約15nm的水平尺寸(例如,厚度)。
根據一些實施方式,外間隙壁114A與114B可設於各別之極閘結構112A與112B的側壁上,且與各別之閘極介電層128A與128B實體接觸。外間隙壁 114A與114B可包含絕緣材料,例如氧化矽、氮化矽、碳氮化矽(SiCN)、碳氧氮化矽(SiOCN)、低k材料、或其組合。外間隙壁114A與114B可有具有介電常數小於約3.9及/或介於約1至約3.5的低k材料。在一些實施方式中,每個外間隙壁114A與114B可具有從約2nm至約10nm的厚度。在一些實施方式中,外間隙壁114A間沿著X軸的水平距離大於內間隙壁113A間沿著X軸的水平距離。類似地,外間隙壁114B間沿著X軸的水平距離大於內間隙壁113B間沿著X軸的水平距離。
可使用其他結構組件,例如閘極接觸結構、源極/汲極接觸結構、導電介層窗、導電線、內連接金屬層等,將場效電晶體102A與102B整合至積體電路中,為清楚起見此處並未繪示這些結構組件。
請參照圖1A至圖1D,半導體元件100可更包含蝕刻終止層(ESL)116、層間介電(ILD)層118、以及淺溝渠隔離(STI)區138。蝕刻終止層116可設於外間隙壁114A與114B的側壁上以及磊晶鰭狀區110A與110B上。蝕刻終止層116可配置以保護閘極結構112A與112B及/或源極/汲極區126A與126B。這樣的保護可在例如於形成層間介電層118及/或源極/汲極接觸結構(未繪示)的期間提供。在一些實施方式中,蝕刻終止層116可包含例如氮化矽(SiNx)、氧化矽(SiOx)、氮氧化矽(SiON)、碳化矽(SiC)、碳氮化矽 (SiCN)、氮化硼(BN)、氮化矽硼(SiBN)、氮化矽碳硼(SiCBN)、或其組合。在一些實施方式中,蝕刻終止層116可具有從約3nm至約30nm的厚度。
層間介電層118可設於蝕刻終止層116上,且可包含使用適合可流動性介電材料(例如,可流動氧化矽、可流動氮化矽、可流動氮氧化矽、可流動碳化矽、或可流動碳氧化矽)之沉積法來沉積的介電材料。在一些實施方式中,此介電材料可為氧化矽。在一些實施方式中,層間介電層118可具有從約50nm至約200nm的厚度。
淺溝渠隔離區138可配置以提供基材106上之場效電晶體102A及102B與相鄰之場效電晶體(未繪示)間及/或與整合或沉積在基材106上之相鄰主動和被動元件(未繪示)間的電性隔離。在一些實施方式中,淺溝渠隔離區138可包含多個材料層,例如氮化層及/或氧化層138a、以及設置於氮化層及/或氧化層138a上的絕緣層138b。在一些實施方式中,氮化層及/或氧化層138a可在淺溝渠隔離區138的形成期間防止鰭狀頂部108A2與108B2之側壁的氧化。在一些實施方式中,絕緣層138b可包含氧化矽、氮化矽、氮氧化矽、摻氟矽玻璃(FSG)、低k介電材料、及/或其他適合的絕緣材料。在一些實施方式中,淺溝渠隔離區138可具有沿著Z軸之從約40nm至約200nm的垂直尺寸。
基於在此的揭露,可了解的是,半導體元件100 及其構件(例如,鰭狀結構108A與108B、閘極結構112A與112B、磊晶鰭狀結構110A與110B、內間隙壁113A與113B、外間隙壁114A與114B、及/或淺溝渠隔離區138)的剖面形狀為例示性的,並無意圖成為限制。
圖2A與圖2B分別繪示沿著圖1A中之線D-D和C-C之場效電晶體102A與102B的剖面視圖,以提供不同於圖1D和圖1C中之源極/汲極區126A與126B配置。在一些實施方式中,半導體元件100可具有如圖2A所示之場效電晶體102A,而不是圖1D中所示的場效電晶體102A。圖2A中的源極/汲極區126A可包含以交替配置安排之奈米結構區120A與122A的堆疊,而不是如圖1D中所示之以交替配置安排之奈米結構區120A和次區110As的堆疊。類似地,在一些實施方式中,半導體元件100可具有如圖2B所示之場效電晶體102B,而不是圖1C中所示的場效電晶體102B。圖2B中的源極/汲極區126B可包含以交替配置安排之奈米結構區120A與122A的堆疊,而不是如圖1C中所示之交替配置安排之奈米結構區122A與次區110Bs的堆疊。場效電晶體102A與102B具有如圖2A與圖2B所示之源極/汲極區126A與126B可不具有內間隙壁,例如內間隙壁113A與113B。
圖3繪示依照一些實施方式之製造半導體元件100的例示方法300的流程圖。做為說明之目的,圖3 所示的操作將參考圖4A至圖19A、圖4B至圖19B、圖9C至圖19C、和圖9D至圖19D所示之製造半導體元件100之例示製造製程來描述。圖4A至圖19A為半導體元件100在其數個製造階段的等角視圖。圖4B至圖19B、圖9C至圖19C、和圖9D至圖19D分別為依照一些實施方式沿著圖4A至圖19A所示之結構之線B-B、C-C和D-D的剖面視圖。根據特定應用,操作可以不同的順序來進行或不進行。應注意的是,方法300可能不會製造出完整的半導體元件100。因此,可理解的是,可在方法300之前、期間、或之後提供額外的製程,在此只簡短敘述一些其他製程。圖4A至圖19A、圖4B至圖19B、圖9C至圖19C、和圖9D至圖19D中具有與圖1A至圖1D中相同符號的構件描述如上。
在操作305中,形成鰭狀結構於基材上。舉例而言,可如參照圖4A至圖5B的敘述般,將具有鰭狀基部119和121以及以交替配置安排的第一半導體層120與第二半導體層122之堆疊的鰭狀結構108A*與108B*(如圖5A與圖5B所示)形成於基材106上。在後續的處理中,從鰭狀結構108A*與108B*分別移除第二半導體層122和第一半導體層120之後,鰭狀結構108A*與108B*可形成鰭狀結構108A與108B(如圖1A至圖1D所示)。形成鰭狀結構108A與108B的製程可包含在基材106上形成堆疊層108*,如圖4A與圖4B所示。堆疊層108*可包含以交替配置堆疊而成的 第一半導體層120*和第二半導體層122*。第一半導體層120*和第二半導體層122*可具有各別之沿著Z軸之約5nm至約30nm的垂直尺寸H1和H2
每個第一半導體層120*及第二半導體層122*可磊晶成長在其下層上,並可包含彼此不同的半導體材料。在一些實施方式中,第一半導體層120*及第二半導體層122*可包含氧化速率及/或蝕刻選擇比彼此不同的半導體材料。在一些實施方式中,第一半導體層120*及第二半導體層122*可包含與基材106類似或不同的半導體材料。第一半導體層120*及第二半導體層122*可包含(i)元素半導體,例如矽或鍺;(ii)化合物半導體,包含III-V族半導體材料;(iii)合金半導體,包含矽鍺、鍺錫、或矽鍺錫;或(iv)其組合。在一些實施方式中,第一半導體層120*可包含矽,第二半導體層122*可包含矽鍺。在一些實施方式中,第一半導體層120*和第二半導體層122*可包含矽鍺,其中鍺含量為約25原子百分比至約50原子百分比,其餘的原子百分比為矽,或包含不具任何實質數量之鍺的矽(例如不含鍺)。
第一半導體層120*及/或第二半導體層122*可為未摻雜的,或可在其磊晶成長製程期間原位摻雜(i)p型摻質,例如硼、銦、或鎵;及/或(ii)n型摻質,例如磷或砷。針對p型原位摻雜,可使用p型摻雜前驅物,例如二硼烷(B2H6)、三氟化硼(BF3)、及/或其他p型摻雜前驅物。針對n型原位摻雜,可使用n型摻雜前 驅物,例如磷化氫(PH3)、砷化氫(AsH3)、及/或其他n型摻雜前驅物。
形成鰭狀結構108A*與108B*的製程可更包含透過形成在圖4A之堆疊層108*上的圖案化硬罩幕層(未繪示),來蝕刻圖4A的結構。在一些實施方式中,硬罩幕層可包含例如利用熱氧化製程所形成的氧化矽層,及/或例如利用低壓化學氣相沉積法(LPCVD)或電漿增強化學氣相沉積法(PECVD)所形成的氮化矽層。圖4A之結構的蝕刻可包含乾式蝕刻製程、濕式蝕刻製程、或其組合。
乾式蝕刻製程可包含使用具有含氧氣體、含氟氣體(例如,四氟甲烷(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、三氟化氮(NF3)、及/或六氟乙烷(C2F6))、含氯氣體(例如,氯氣(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)、氯化氫(HCl)、及/或三氯化硼(BCl3))、含溴氣體(例如,溴化氫(HBr)及/或三溴甲烷(CHBr3))、氨氣(NH3)、含碘氣體、其他適合蝕刻之氣體及/或電漿、或其組合的蝕刻劑。可在約150V至約350V的高偏壓、約10W至約50W的射頻功率、約5Torr至約50Torr的壓力、約25℃至約40℃的溫度、以及約10秒至約40秒的時間下,進行此乾式蝕刻製程。
濕式蝕刻製程可包含於稀釋氫氟酸(DHF)、氫氧化鉀(KOH)溶液、氨水(NH3)、含氫氟酸(HF)之溶 液、硝酸(HNO3)、乙酸(CH3COOH)、或其組合中進行蝕刻。
在堆疊層108*的蝕刻後,可形成具有鰭狀基部119與121的鰭狀結構108A*與108B*,鰭狀結構108A*與108B*具有各別沿著Z軸之約40nm至約60nm的垂直尺寸,如圖5A與圖5B所示。形成在鰭狀基部119與121上之第一半導體層120及第二半導體層122的堆疊可具有各別沿著Z軸之約5nm至約30nm的垂直尺寸H3與H4,以及各別沿著Y軸之約5nm至約50nm的水平尺寸W3與W4。H3/W3與H4/W4的比值可均從約0.2至約5。在一些實施方式中,尺寸H3與H4和W3與W4分別可彼此相等或不同。在一些實施方式中,H3/W3與H4/W4的比值分別可彼此相等或不同。
請參照圖3,在操作310中,形成鈍化層於鰭狀結構上。舉例而言,可如參照圖6A與圖6B的敘述般,分別在鰭狀結構108A*與108B*上形成鈍化層109A與109B。在鰭狀結構108A*與108B*上形成鈍化層109A與109B的製程可包含在原子層沉積或化學氣相沉積製程中使用具有氟、氯、氮、氧、氫、氘、氨、及/或硫化氫(H2S)的一或多種前驅氣體,來毯覆式沉積鈍化層109在圖5A的結構上。在毯覆式沉積製程期間,此一或多種前驅氣體可具有約10sccm至約1500sccm的流率。可在約10Torr至約20大氣壓的壓力、約100 ℃至約300℃的溫度、以及約10秒至約120分的時間下,來進行此毯覆式沉積製程。在鰭狀結構108A*與108B*上之部分毯覆式沉積的鈍化層109可分別稱為鈍化層109A與109B。
請參照圖3,在操作315中,形成淺溝渠隔離區於鈍化層上。舉例而言,可如參照圖7A與圖7B的敘述般,形成淺溝渠隔離區138於鈍化層109A與109B上。淺溝渠隔離區138的製作可包含(i)沉積氮化物材料層(未繪示)在圖6A的結構上;(ii)沉積氧化物材料層(未繪示)在氮化物材料層上;(iii)沉積絕緣材料層(未繪示)在氧化物材料層上;(iv)退火絕緣材料層;(v)化學機械研磨(CMP)氮化物材料層、氧化物材料層及、經退火的絕緣材料層;以及(vi)回蝕研磨後的結構,以形成圖7A的淺溝渠隔離區138。
可使用適合沉積氮化物與氧化物的製程,例如原子層沉積(ALD)或化學氣相沉積(CVD),來沉積氮化物層與氧化物材料層。在一些實施方式中,絕緣材料層可包含氧化矽、氮化矽、氮氧化矽、摻氟矽玻璃(FSG)、或低k介電材料。在一些實施方式中,可使用化學氣相沉積製程或高密度電漿(HDP)化學氣相沉積製程,並使用矽甲烷(SiH4)與氧氣(O2)做為反應前驅物來沉積絕緣材料層。在一些實施方式中,可使用次大氣壓化學氣相沉積(SACVD)製程或高深寬比製程(HARP),其中製程氣體可包含四乙基矽氧烷(TEOS)及/或臭氧(O3), 來沉積絕緣材料層。
在一些實施方式中,可藉由使用可流動性化學氣相沉積(FCVD)製程沉積流動性氧化矽,來形成絕緣材料層。濕式退火製程可在可流動性化學氣相沉積製程後進行。濕式退火製程可包含於蒸汽中,在約200℃至約700℃之溫度下,對沉積之絕緣材料層進行約30分至約120分的退火。化學機械研磨製程可在濕式退火製程後進行,以去除部分之氮化物層、氧化物層、及絕緣材料層,藉以實質共平面化氮化物層、氧化物層、及絕緣材料層的頂面與鰭狀結構108A*與108B*之頂面。蝕刻製程可在化學機械研磨製程後進行,以回蝕氮化物層、氧化物層、及絕緣材料層,而形成圖7A之淺溝渠隔離區138。
可利用乾式蝕刻製程、濕式蝕刻製程、或其組合來進行氮化物層、氧化物層、及絕緣材料層的回蝕。在一些實施方式中,乾式蝕刻製程可包含使用具有氣體混合物且壓力從約1mTorr至5mTorr的電漿乾式蝕刻,此氣體混合物具有八氟環丁烷(C4F8)、氬氣(Ar)、氧氣(O2)、及氦氣(He),三氟甲烷(CHF3)與氦氣,四氟化碳(CF4)、二氟甲烷(CH2F2)、氯氣(Cl2)、及氧氣,溴化氫(HBr)、氧氣、及氦氣,或其組合。在一些實施方式中,濕式蝕刻製程可包含使用稀釋之氫氟酸(DHF)的處理、氨水和過氧化氫混合物(APM)、硫酸和過氧化氫混合物(SPM)、熱去離子水(DI water)、或其組合。 在一些實施方式中,濕式蝕刻製程可包含使用氨水(NH3)與氫氟酸(HF)做為蝕刻劑,並使用惰性氣體,例如氬氣、氙氣(Xe)、氦氣、或其組合。在一些實施方式中,濕式蝕刻製程所使用的氫氟酸與氨水的流率可均從約10sccm至約100sccm。在一些實施方式中,可在約5mTorr至約100mTorr的壓力與約50℃至約120℃的高溫下進行濕式蝕刻製程。
請參照圖3,在操作320中,形成保護氧化層於鈍化層上,並形成多晶矽結構於保護氧化層與淺溝渠隔離區上。舉例而言,可如參照圖7A與圖7B的敘述般,形成保護氧化層740A與740B於各別之鈍化層109A與109B上,且可形成多晶矽結構112A*與112B*於各別之保護氧化層740A與740B及淺溝渠隔離區138上。
形成保護氧化層740A與740B的製程可包含毯覆式沉積氧化物材料層(未繪示)在圖6A的結構上,以及接下來的高溫退火製程與蝕刻製程。氧化物材料層可包含氧化矽,且可使用適合的沉積製程,例如化學氣相沉積、原子層沉積、電漿增強式原子層沉積(PEALD)、物理氣相沉積、或電子束蒸鍍,來毯覆式沉積氧化物材料層。在一些實施方式中,可使用電漿增強式原子層沉積,在約400W至約500W的能量與約300℃至約500℃的溫度下,來毯覆式沉積氧化物材料層。在毯覆式沉積氧化物材料層後,可在氧氣流且於約800℃至約1050 ℃的溫度下進行乾式退火製程。氧前驅物的濃度可為總氣體流率的約0.5%至約5%。在一些實施方式中,退火製程可為快閃式製程,其中退火時間可介於約0.5秒至約5秒。形成保護氧化層740A與740B的蝕刻製程可能沒有接續在退火製程之後,且可在以下所述之形成多晶矽結構112A*與112B*的期間進行,或可在形成多晶矽結構112A*與112B*後以個別蝕刻製程來進行。
在保護氧化層740A與740B之氧化物材料的毯覆式沉積層的退火後,可形成多晶矽結構112A*與112B*,如圖7A與圖7B所示。在後續製程中,可在閘極置換製程中置換多晶矽結構112A*與112B*,以分別形成閘極結構112A與112B。在一些實施方式中,形成多晶矽結構112A*與112B*的製程可包含毯覆式沉積多晶矽材料層於保護氧化層740A與740B之經退火之氧化材料層上,並透過形成於多晶矽材料層上的圖案化硬罩幕層742A與742B來蝕刻此多晶矽材料的毯覆式沉積層。在一些實施方式中,多晶矽材料可為未摻雜的,硬罩幕層742A與742B可包含氧化層及/或氮化層。可使用熱氧化製程來形成氧化層,且可使用低壓化學氣相沉積法或電漿增強化學氣相沉積法製程來形成氮化層。硬罩幕層742A與742B可保護多晶矽結構112A*與112B*,使其不受後續製程步驟(例如,內間隙壁113A與113B、外間隙壁114A與114B、磊晶鰭狀區110A與110B、層間介電層118、及/或蝕刻終 止層116的形成期間)影響。
多晶矽材料層的毯覆式沉積可包含化學氣相沉積、物理氣相沉積、原子層沉積、或其他適合的沉積製程。在一些實施方式中,蝕刻多晶矽材料的毯覆式沉積層可包含乾式蝕刻製程、濕式蝕刻製程、或其組合。在一些實施方式中,多晶矽材料之毯覆式沉積層的蝕刻可包含四個蝕刻步驟。第一多晶矽蝕刻步驟可包含使用具有溴化氫(HBr)、氧氣(O2)、三氟甲烷(CHF3)、以及氯氣(Cl2)的氣體混合物。第二多晶矽蝕刻步驟可包含在約45mTorr至約60mTorr的壓力下,使用具有溴化氫、氧氣、氯氣、以及氮氣(N2)的氣體混合物。第三多晶矽蝕刻步驟可包含在約45mTorr至約60mTorr的壓力下,使用具有溴化氫、氧氣、氯氣、氮氣、以及氬氣(Ar)的氣體混合物。第四多晶矽蝕刻步驟可包含在約45mTorr至約60mTorr的壓力下,使用具有溴化氫、氧氣、氯氣、以及氮氣的氣體混合物。依照一些實施方式,第四多晶矽蝕刻步驟可連同多晶矽材料,而移除部分未被多晶矽結構112A*與112B*覆蓋之保護氧化層740A與740B之氧化物材料之經退火的毯覆式沉積層。第一多晶矽蝕刻步驟可具有較第二、第三、及/或第四多晶矽蝕刻步驟高的多晶矽蝕刻速率。第一多晶矽蝕刻步驟可蝕刻在鰭狀結構108A*與108B*上之多晶矽材料的毯覆式沉積層中不需要的部分。第二、第三、及第四多晶矽蝕刻步驟可用以蝕刻高深寬比空間743中之多晶 矽材料的毯覆式沉積層中不需要的部分。
在一些實施方式中,多晶矽結構112A*與112B*在鰭狀結構108A*與108B*之頂面上之沿著Z軸的垂直尺寸可從約40nm至約60nm。多晶矽結構112A*與112B*可具有等於或大於9的深寬比,其中深寬比為多晶矽結構112A*與112B*沿著Z軸之垂直尺寸對沿著Y軸之水平尺寸的比值。在一些實施方式中,相鄰多晶矽結構112A*與112B*之中心線間沿著Y軸的水平尺寸(例如,間距)可從約30nm至約70nm。
在多晶矽結構112A*與112B*形成後,若未被多晶矽結構112A*與112B*所覆蓋之氧化物之毯覆式沉積層的部分未在第四多晶矽蝕刻步驟期間移除時,可以乾式或濕式蝕刻製程予以移除,以形成圖7A與圖7B的結構。圖7A與圖7B的結構具有多晶矽結構112A*與112B*和分別設於奈米結構區120B與122B(圖7B)之堆疊上的保護氧化層740A與740B,並具有分別從多晶矽結構112A*與112B*之二側且沿著X軸延伸而出之奈米結構區120A與122A(圖7A)的堆疊。
在一些實施方式中,保護氧化層740A與740B具有沿著Z軸之垂直尺寸(例如,鰭狀結構108A*與108B*之頂面上的厚度)以及沿著Y軸之水平尺寸(例如,鰭狀頂部108A*與108B*側壁上的厚度),其範圍從約1nm至約3nm。在一些實施方式中,此垂直尺寸可等於 或大於此水平尺寸。在形成多晶矽結構112A*與112B*的期間,保護氧化層740A與740B的存在允許從高深寬比空間743(例如,深寬比大於1:15、1:18、或1:20)中蝕刻多晶矽材料而不會實質上蝕刻及/或傷害鰭狀結構108A*與108B*。
請參照圖3,在操作325中,形成外間隙壁於多晶矽結構的側壁上與鈍化層上。舉例而言,可如參照圖8A與圖8B的敘述般,形成外間隙壁114A與114B於多晶矽結構112A*與112B*的側壁上與未被多晶矽結構112A*與112B*覆蓋之鈍化層109A與109B的部分上。形成外間隙壁114A與114B之製程可包含藉由化學氣相沉積、物理氣相沉積、或原子層沉積製程的毯覆式沉積絕緣材料層(例如,氧化物或氮化物材料)在圖7A中的結構上,再接著微影與蝕刻製程(例如,反應式離子蝕刻,或其他使用氯基或氟基蝕刻劑的乾式蝕刻製程)。
請參照圖3,在操作330中,形成內間隙壁和磊晶鰭狀區於鰭狀結構上。舉例而言,可如參考圖9A至圖15D的敘述般,分別形成內間隙壁113A與113B和磊晶鰭狀區110A與110B於鰭狀結構108A*與108B*非位於多晶矽結構112A*與112B*下的部分(例如,分別為奈米結構區120A與122A)上。以圖9A至圖15D所例示的處理步驟描述了後續內間隙壁113A與113B的製作以及具彼此不同之導電性之場效電晶體 102A與102B的磊晶鰭狀區110A與110B的製作。舉例而言,場效電晶體102A可為n型而場效電晶體102B可為p型。在場效電晶體102A的內間隙壁113A和磊晶區110A形成前,可藉由圖案化在場效電晶體102B上之光阻層946,如圖9B與圖9C所示,來保護場效電晶體102A。為清楚起見,光阻層946並未繪示於圖9A至圖12A中。
形成場效電晶體102A之內間隙壁113A的製程可包含從由多晶矽結構112A*之二側且沿著X軸延伸而出之奈米結構區120A與122A之堆疊中蝕刻部分的外間隙壁114A。蝕刻製程可包含利用蝕刻氣體,例如甲烷、氧氣、以及氟基甲烷,之乾式蝕刻製程。甲烷:氧氣:氟基甲烷的流率比可從約1:1:1至約1:2:4。此蝕刻製程可在約300V至約450V的高偏壓下進行。
形成內間隙壁113A的製程更可包含在外間隙壁114A的蝕刻後,從奈米結構區120A與122A之堆疊蝕刻奈米結構區122A。在一些實施方式中,奈米結構區120A與122A可包含分別不具任何實質數量的鍺與矽鍺之矽(例如,分別不具有鍺與矽鍺),且奈米結構區122A的蝕刻可包含使用對矽鍺比對矽具有較高蝕刻選擇比的乾式蝕刻製程。舉例而言,鹵基的化學物可展現出對矽鍺比對矽高的蝕刻選擇比。因此,鹵素氣體可以較快於蝕刻矽的速度蝕刻矽鍺。在一些實施方式中,鹵基化學物可包含氟基及/或氯基氣體。替代地,奈米結 構區122A的蝕刻可包含利用對矽鍺比對矽具有較高蝕刻選擇比的濕式蝕刻製程。舉例而言,濕式蝕刻製程可包含利用硫酸(H2SO4)和過氧化氫(H2O2)的混合物(SPM)及/或氫氧化銨(NH4OH)與過氧化氫(H2O2)和去離子(DI)水的混和物(APM)。
奈米結構區122A之蝕刻的結果,可形成具有開口1048介於其間之懸置奈米結構區120A,如圖10A與圖10D所示。而且,奈米結構區122A的蝕刻可在多晶矽結構112A*下方之奈米結構區122B的側壁上產生直線蝕刻輪廓122Bs1或是弧形蝕刻輪廓122Bs2(以弧形虛線表示),如圖10D所示。可控制此蝕刻製程,使得開口1048沿著X軸至少延伸在外間隙壁114A之下,且奈米結構區122B的側壁實質上與位於外間隙壁114A與多晶矽結構112A*之間的交界面114As對齊,如圖10D所示。在一些實施方式中,開口1048可沿著X軸進一步延伸在多晶矽結構112A*之下,使得奈米結構區122B的側壁設於遠離交界面114As約1nm至約10nm。延伸在外間隙壁114A或多晶矽結構112A*下方的開口1048可防止部分的奈米結構區122B殘留於外間隙壁114A下方,或防止在後續處理中(例如,在操作340中)之以閘極結構112A置換奈米結構區122B和多晶矽結構112A*期間,在外間隙壁114A下方形成閘極結構112A。
形成內間隙壁113A的製程可更包含毯覆式沉 積低k介電材料層(未繪示)在圖10A的結構上,直到開口1048為低k介電材料層所填充或部分填充。毯覆式沉積製程可包含原子層沉積製程或化學氣相沉積製程。在一些實施方式中,毯覆式沉積製程可包含複數個沉積與蝕刻製程的循環。在每一個循環中,蝕刻製程可於沉積製程後進行,以透過移除在開口1048中填充低k介電材料層期間所產生的裂縫,來防止空隙形成於沉積在開口1048內之低k介電材料層中。每一毯覆式沉積製程中的蝕刻製程可包含使用氟化氫和三氟化氮之氣體混合物的乾式蝕刻製程。氟化氫對於三氟化氮的氣體比值可從約1至約20。在一些實施方式中,低k介電材料可包含矽、氧、碳、及/或氮。此低k介電材料可包含碳氧氮化矽(SiOCN)、碳氮化矽(SiCN)、碳氧化矽(SiOC)、聚醯亞胺、摻雜碳的氧化物、摻雜氟的氧化物、摻雜氫的氧化物、或其組合。
形成內間隙壁113A的製程可更包含蝕刻低k介電材料的毯覆式沉積層,以回蝕在開口1048中的低k介電材料層,藉以形成內間隙壁113A並且從場效電晶體102A之表面移除其他部分的低k介電材料層,如圖11A與11D所示。此毯覆式沉積之低k介電材料層的蝕刻可包含使用氟化氫和三氟化氮之氣體混合物的乾式蝕刻製程。氟化氫對於三氟化氮的氣體比值可從約1至約20。在一些實施方式中,此蝕刻可以兩道蝕刻步驟進行。在第一道蝕刻步驟中,氟化氫對於三氟化氮的氣體 比值可從約1至約10。此第一道蝕刻步驟可從場效電晶體102A之表面移除部分之低k介電材料層,並且部分地回蝕在開口1048中的低k介電材料層。在第二道蝕刻步驟中,氟化氫對於三氟化氮的氣體比值可高於第一道蝕刻步驟,且可從約5至約20。第二道蝕刻步驟可實現如圖11D所示之內間隙壁113A的結構。在一些實施方式中,內間隙壁113A和奈米結構區122B的交界面113As順著奈米結構區122B之側壁的蝕刻輪廓。舉例而言,當奈米結構區122B的側壁具有直線蝕刻輪廓122Bs1(圖10D)時,交界面113As可具有如圖11D所示之直線輪廓,或是當奈米結構區122B的側壁具有弧形蝕刻輪廓122Bs2時(圖10D和圖11D),交界面113As可具有弧形輪廓(未繪示)。
請參照圖12A至圖12D,在形成內間隙壁113A後,可成長磊晶鰭狀區110A圍繞懸置之奈米結構區120A。在一些實施方式中,可藉由(i)化學氣相沉積,例如低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、超高真空化學氣相沉積(UHVCVD)、減壓化學氣相沉積(RPCVD)、或任何適合的化學氣相沉積;(ii)分子束磊晶(MBE)製程;(iii)任何適合的磊晶製程;或(iv)其組合,來成長磊晶鰭狀區110A。在一些實施方式中,可藉由磊晶沉積/部分蝕刻製程,其至少重複一次磊晶沉積/部分蝕刻製程,來成長磊晶鰭狀區110A。在一些實施方式中,當奈米結構區120A包含矽 鍺時,磊晶鰭狀區110A可為p型,或者當奈米結構區120A包含不具有任何實質數量的鍺(例如,不含鍺)之矽時,磊晶鰭狀區110A可為n型。P型磊晶鰭狀區110A可包含矽鍺,並可在磊晶成長製程期間使用p型摻質,例如硼、銦、或鎵,進行原位摻雜。對於p型原位摻雜,可使用p型摻雜前驅物,例如但不限於二硼烷(B2H6)、三氟化硼(BF3)、及/或其他的p型摻雜前驅物。N型磊晶鰭狀區110A可包含不具有任何實質數量之鍺(例如,不含鍺)的矽,並可在磊晶成長製程期間使用n型摻質,例如磷或砷,原位摻雜。對於n型原位摻雜,可使用n型摻雜前驅物,例如但不限於磷化氫(PH3)、砷化氫(AsH3)、及/或其他的n型摻雜前驅物。
在一些實施方式中,可如圖1E和圖1G所示成長磊晶鰭狀區110A於鰭狀基部119上,而不是如圖12A和圖12D所示形成磊晶鰭狀區110A環繞懸置的奈米結構區120A。移除懸置的奈米結構區120A,與接下來之形成內間隙壁113A後,如圖1G所示之磊晶鰭狀區110A可成長於鰭狀基部119上。移除懸置的奈米結構區120A的製程可包含使用蝕刻劑氣體,例如甲烷、氧、和氟基甲烷,的乾式蝕刻製程。甲烷:氧氣:氟基甲烷的流率比可從約1:1:1至約1:2:4。此蝕刻製程可在約300V至約450V的高偏壓下進行。此懸置奈米結構區120A的蝕刻可包含利用對矽比對矽鍺具較高蝕刻選擇比的濕式蝕刻製程。舉例而言,此濕式蝕 刻製程可包含使用混和物(氫氧化銨)與氫氯酸。
在形成場效電晶體102A之內間隙壁113A和磊晶鰭狀區110A後,可從場效電晶體102B移除光阻層946,且可在場效電晶體102A上圖案化另一光阻層1346(如圖13B和圖13D所示),如參照圖13A至圖15D描述般,在後續形成場效電晶體102B之內間隙壁113B和磊晶區110B的處理步驟期間,保護場效電晶體102A。為清楚起見,光阻層1346並未繪示於圖13A至圖15A中。
請參照圖13A至圖13D,形成內間隙壁113B的製程可包含從由多晶矽結構112B*之二側且沿著X軸延伸而出之奈米結構區120A與122A之堆疊中蝕刻部分的外間隙壁114B,接著從奈米結構區120A和122A之堆疊蝕刻奈米結構區120A。蝕刻部分之外間隙壁114B的製程可包含使用蝕刻劑氣體,例如甲烷、氧、以及氟基甲烷,的乾式蝕刻製程。甲烷:氧氣:氟基甲烷的流率比可從約1:1:1至約1:2:4。此蝕刻製程可在約300V至約450V的高偏壓下進行。此奈米結構區120A的蝕刻可包含利用對矽比對矽鍺具較高蝕刻選擇比的濕式蝕刻製程。舉例而言,此濕式蝕刻製程可包含使用混和物(氫氧化銨)與氫氯酸。
奈米結構區120A之蝕刻的結果,可形成具有開口1348介於其間之懸置奈米結構區122A,如圖13A和圖13C所示。而且,奈米結構區120A的蝕刻可在多 晶矽結構112B*下方之奈米結構區122B的側壁上產生直線蝕刻輪廓120Bs1或是實質三角形蝕刻輪廓120Bs2(以虛線表示),如圖13C所示。此蝕刻輪廓120Bs2(圖13C)和122Bs2(圖10D)可分別因奈米結構區120B與122B之不同材料的不同晶體結構及/或晶體定向而不同。舉例而言,含有矽材料的奈米結構區120B可具有蝕刻輪廓120Bs2而含有矽鍺的奈米結構區122B具有蝕刻輪廓122Bs2
可控制奈米結構區120A的蝕刻製程,使得開口1348沿著X軸至少延伸在外間隙壁114B之下,且奈米結構區120B的側壁實質上與位於外間隙壁114B與多晶矽結構112B*之間的交界面114Bs對齊,如圖13C所示。在一些實施方式中,開口1348可沿著X軸進一步延伸在多晶矽結構112B*之下,使得奈米結構區120B的側壁設於遠離交界面114Bs約1nm至約10nm。延伸在外間隙壁114B或多晶矽結構112B*下方的開口1348可防止部分的奈米結構區120B殘留於外間隙壁114B下方,或防止在後續處理中(例如,在操作340中)之以閘極結構112B置換奈米結構區120B和多晶矽結構112B*期間,在外間隙壁114B下方形成閘極結構112B。
形成內間隙壁113B的製程可更包含毯覆式沉積低k介電材料層(未繪示)在圖13A的結構上,直到開口1348為低k介電材料層所填充或部分填充。此毯覆 式沉積製程與用於形成內間隙壁113A而在開口1048中沉積低k介電材料層的製程相似。
形成內間隙壁113B的製程可更包含蝕刻低k介電常數材料的毯覆式沉積層,以回蝕在開口1348中的低k介電材料層,藉以形成內間隙壁113B並且從場效電晶體102B之表面移除其他部分的低k介電材料層,如圖14A和圖14C所示。此低k介電材料之毯覆式沉積層的蝕刻可包含使用氟化氫和三氟化氮之氣體混合物的乾式蝕刻製程。氟化氫對於三氟化氮的氣體比值可從約1至約20。在一些實施方式中,此蝕刻可以兩道蝕刻步驟進行。在第一道蝕刻步驟中,氟化氫對於三氟化氮的氣體比值可從約1至約10。此第一道蝕刻步驟可從場效電晶體102B表面移除部分的低k介電材料層,並且部分地回蝕在開口1348中的低k介電材料層。在第二道蝕刻步驟中,氟化氫對於三氟化氮的氣體比值可高於第一道蝕刻步驟,且可從約5至約20。第二道蝕刻步驟可實現如圖14C所示之內間隙壁113B的結構。在一些實施方式中,內間隙壁113B和奈米結構區120B的交界面113Bs順著奈米結構區120B的側壁的蝕刻輪廓。舉例而言,當奈米結構區122B的側壁具有直線蝕刻輪廓122Bs1(圖10D)時,交界面113Bs可具有如圖14C所示之直線輪廓,或是當奈米結構區120B的側壁具有三角形蝕刻輪廓120Bs2時(圖13C和圖14C),交界面113Bs可具有三角形輪廓(未繪示)。
請參照圖15A至圖15D,在形成內間隙壁113B後,可成長磊晶鰭狀區110B圍繞懸置之奈米結構區122A。磊晶鰭狀區110B可以類似於如參照圖12A至圖12D中描述之磊晶鰭狀區110A之方式成長。在一些實施方式中,當奈米結構區122A包含矽鍺時,磊晶鰭狀區110B可為p型,或者當奈米結構區122A包含不具有任何實質數量之鍺(例如,不含鍺)的矽時,磊晶鰭狀區110B可為n型。在內間隙壁113B和磊晶區110B形成後,可從場效電晶體102A移除光阻層1346,如圖15B與圖15D所示。
類似於磊晶鰭狀區110A,在一些實施方式中,可如圖1E與圖1F所示成長磊晶鰭狀區110B於鰭狀基部121上,而不是如圖15A與圖15D所示形成磊晶鰭狀區110B環繞懸置的奈米結構區122A。移除懸置的奈米結構區122A,與接下來之形成內間隙壁113B後,如圖1F中所示之磊晶鰭狀區110B可成長於鰭狀基部121上。移除懸置奈米結構區122A的製程可包含使用對矽鍺比對矽具較高蝕刻選擇比的乾式蝕刻製程。舉例而言,鹵基化學物可展現出對矽鍺比對矽高的蝕刻選擇比。因此,鹵素氣體可以較快於蝕刻矽的速度蝕刻矽鍺。在一些實施方式中,鹵基化學物可包含氟基及/或氯基氣體。替代地,奈米結構區122A的蝕刻可包含利用對矽鍺比對矽具較高蝕刻選擇比的濕式蝕刻製程。舉例而言,濕式蝕刻製程可包含利用硫酸(H2SO4)和過氧化氫 (H2O2)的混合物(SPM)及/或氫氧化銨(NH4OH)與過氧化氫(H2O2)和去離子(DI)水的混和物(APM)。
在一些實施方式中,若場效電晶體102A與102B均為相同導電型態(例如,n型或p型),形成內間隙壁113A與113B的處理步驟可在不使用光阻層946與1346的狀況下同時進行。類似地,若場效電晶體102A與102B均為類似導電型態,形成磊晶鰭狀區110A與110B的處理步驟可於同時形成內間隙壁113A與113B後,在不使用光阻層946與1346的狀況下同時進行。
請參照圖3,在操作335中,形成奈米結構通道區在磊晶鰭狀區之間。舉例而言,可如參照圖16A至圖19D的描述般,依序形成奈米結構通道區120B與122B於多晶矽結構112A*與112B*下方之鰭狀結構108A*與108B*的區域中。在形成奈米結構通道區120B及122B之前,可沉積蝕刻終止層116於圖15A的結構上,且沉積層間介電層118於蝕刻終止層116上。
在一些實施方式中,形成蝕刻終止層116的材料可包含氮化矽、氧化矽、氮氧化矽、碳化矽、碳氮化矽、氮化硼、氮化矽硼、氮化矽碳硼、或其組合。形成蝕刻終止層116可包含使用電漿增強化學氣相沉積法、次大氣壓化學氣相沉積(SACVD)、低壓化學氣相沉積法、原子層沉積、高密度電漿(HDP)化學氣相沉積、電漿增 強式原子層沉積(PEALD)、分子層沉積(MLD)、電漿脈衝式化學氣相沉積(PICVD)、或其他適合沉積之方法,來毯覆式沉積蝕刻終止層116之材料層於圖15A的結構上。
在毯覆式沉積蝕刻終止層116之材料層後,可接著層間介電層118之介電材料層的毯覆式沉積。在一些實施方式中,介電材料可為氧化矽。可使用適合可流動性介電材料(例如,可流動性氧化矽、可流動性氮化矽、可流動性氮氧化矽、可流動性碳化矽、或可流動性碳氧化矽)的沉積方法來沉積此介電材料層。舉例而言,可使用可流動性化學氣相沉積製程來沉積可流動性氧化矽。在毯覆式沉積製程後,可接著在溫度約200℃至約700℃的蒸氣中,對介電材料之沉積層進行時間約30分鐘至約120分鐘的熱退火處理。在熱退火處理後,可接著化學機械研磨製程,以使蝕刻終止層116、層間介電層118、外間隙壁114A與114B、以及多晶矽結構112A*與112B*之頂面彼此共平面化,如圖16A所示。在化學機械研磨製程期間,可移除硬罩幕層742A與742B。
在化學機械研磨製程後,可形成場效電晶體102A之奈米結構通道區120B,如圖17B和圖17D所示。形成奈米結構通道區120B的製程可包含依序之步驟(i)形成光阻層1650於場效電晶體102B上,如圖16A至圖16C所示;(ii)從圖16A的結構中蝕刻多晶矽結構層112A*與保護氧化層740A;以及(iii)從圖 16A的結構蝕刻奈米結構區122B。在一些實施方式中,可使用操作320中所描述的第一、第二、第三、及/或第四多晶矽蝕刻步驟來蝕刻多晶矽結構層112A*與保護氧化層740A。在一些實施方式中,可利用類似於參照圖10A至圖10D中所描述之蝕刻奈米結構區122A的濕式蝕刻製程來蝕刻奈米結構區122B。奈米結構區122B之蝕刻的結果,奈米結構通道區120B形成以具有環繞他們的開口1752,如圖17B和圖17D所示。
於奈米結構區122B的蝕刻後,可形成場效電晶體102B之奈米結構通道區122B,如圖18B與圖18C所示。形成奈米結構通道區122B之製程可包含依序之步驟(i)移除光阻層1650;(ii)形成光阻層1850於開口1752中(如圖17B與圖17D所示),以保護奈米結構通道區120A,如圖18B與圖18D所示;(iii)蝕刻多晶矽結構112B*與保護氧化層740B;以及(iv)從圖17A的結構蝕刻奈米結構區120B。類似於多晶矽結構112A*和保護氧化層740A的蝕刻,可使用操作320中所描述的第一、第二、第三、及/或第四多晶矽蝕刻步驟來蝕刻多晶矽結構層112B*與保護氧化層740B。在一些實施方式中,可利用類似於參照圖13A至圖13D中所描述之用來蝕刻奈米結構區120A的濕式蝕刻製程蝕刻奈米結構區120B。奈米結構區120B之蝕刻的結果,奈米結構通道區122B形成以具有環繞他們的開口1852,如圖18B與圖18D所示。於場效電晶體102B 的奈米結構通道區122B形成後,可從開口1752移除光阻層1850,以形成圖19A至圖19D的結構。
請參照圖3,在操作340中,形成閘極全環繞(GAA)結構在奈米結構通道區上。舉例而言,可如參照圖19A至圖19D和圖1B至圖1D之敘述般,形成閘極結構112A與112B環繞包圍奈米結構通道區120B和122B。形成閘極結構112A與112B之製程可包含依序之步驟(i)毯覆式沉積閘極介電層128A與128B的介電材料層於圖19A的結構上;(ii)毯覆式沉積閘極功函數金屬層130A與130B的功函數金屬層於介電材料層上;以及(iii)毯覆式沉積閘極金屬填充層132A與132B的導電材料層於功函數金屬層上,直到填滿開口1752與1852。在一些實施方式中,如圖1B所示,介電材料層與功函數金屬層可均在開口1752與1852(如圖19B至圖19D所示)中形成共形層。
閘極介電層128A與128B的介電材料層可包含氧化矽,並可藉由化學氣相沉積、原子層沉積(ALD)、物理氣相沉積(PVD)、電子束蒸鍍、或是其他適合的製程來製作。在一些實施方式中,介電材料層可包含(i)氧化矽層、氮化矽層、及/或氮氧化矽層;(ii)高k介電材料,例如氧化鉿(HfO2)、二氧化鈦、氧化鉿鋯、氧化鉭、矽酸鉿、氧化鋯、矽酸鋯;(iii)高k介電材料,具有鋰、鈹、鎂、鈣、鍶、鈧、釔、鋯、鋁、鑭、鈰、鐠、釹、釤、銪、釓、鋱、鏑、鈥、鉺、銩、鐿、或鎦的氧化物; 或(iv)其組合。高k介電材料層可藉由原子層沉積及/或其他適合的方法來製作。
閘極功函數金屬層130A與130B的功函數金屬層可包含鋁、銅、鎢、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、銀、碳化鉭、氮矽化鉭、碳氮化鉭、鈦鋁、氮化鈦鋁、氮化鎢、金屬合金、及/或其組合。在一些實施方式中,功函數金屬層可包含摻雜鋁之金屬,例如摻雜鋁的鈦、摻雜鋁的氮化鈦、摻雜鋁的鉭、或摻雜鋁的氮化鉭。可使用適合的製程,例如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、或其組合,來沉積功函數金屬層。閘極電極的導電材料層可包含鈦、銀、鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮矽化鉭、錳、鋯、氮化鈦、氮化鉭、銣、鉬、氮化鎢、銅、鎢、鉻、鎳、碳化鈦、碳化鈦鋁、碳化鉭鋁、金屬合金、及/或其組合,且可使用原子層沉積、物理氣相沉積、化學氣相沉積、或其他適合的沉積製程來製作。可利用化學機械研磨製程平坦化介電材料、功函數金屬、以及導電材料的沉積層,以形成如圖1A的結構。化學機械研磨製程可使閘極介電層128A與128B、閘極功函數金屬層130A與130B、以及閘極金屬填充層132A與132B的頂面與層間介電層118的頂面實質共平面化,如圖1A至圖1D所示。
形成閘極結構112A與112B後,可接著形成其他元件,例如源極/汲極接觸、閘極接觸、介層窗、內 連線金屬層、介電層、鈍化層等,為清楚起見並未繪示出這些元件。
本揭露提供例示結構與方法,以降低場效電晶體元件(例如,場效電晶體102A與102B)中的寄生電容。降低場效電晶體元件之寄生電容可改善場效電晶體元件的性能。例示結構與方法提供介於場效電晶體元件的源極/汲極(S/D)區和閘極全環繞結構之間的內間隙壁結構(例如,內間隙壁113A與113B),以降低介於他們之間的寄生電容。寄生電容可能由場效電晶體運作期間源極/汲極區與閘極全環繞結構之間的電容耦合所引起,對於場效電晶體元件的效能(例如,在高頻率)會產生負面的影響。在一些實施方式中,可將內間隙壁設於場效電晶體元件之磊晶源極/汲極區和閘極全環繞結構之間,且可包含低k介電材料及/或空氣間隙。在此所描述之內間隙壁可將源極/汲極區與閘極全環繞結構之間的寄生電容,較不具有這樣內間隙壁之場效電晶體元件中的寄生電容降低約20%至約60%。
在一些實施方式中,一種半導體元件包含基材、設於基材上且具有第一奈米結構區和第二奈米結構區之奈米結構層之堆疊、以及設於基材上的第一源極/汲極區和第二源極/汲極區。每個第一源極/汲極區和第二源極/汲極區包含磊晶區環繞包圍每個第一奈米結構區。此半導體元件更包含閘極全環繞(GAA)結構設於第一源極/汲極區與第二源極/汲極區之間且環繞包圍每個第二奈 米結構區、第一內間隙壁設於第一源極/汲極區之磊晶次區與閘極全環繞結構的閘極次區之間、第二內間隙壁設於第二源極/汲極區之磊晶次區與閘極全環繞結構的閘極次區之間、以及鈍化層設於第一奈米結構區與第二奈米結構區之側壁上。
依照一些實施例,第一內間隙壁與第二內間隙壁設於閘極次區之側壁上,閘極次區嵌設於奈米結構層之堆疊之相鄰奈米結構層之間。依照一些實施例,鈍化層之第一部分設於磊晶區與奈米結構層之堆疊之間,且鈍化層之第二部分設於第一奈米結構區與第二奈米結構區之側壁上。依照一些實施例,此半導體元件更包含第一外間隙壁與第二外間隙壁分別設於第一內間隙壁與第二內間隙壁上。依照一些實施例,此半導體元件更包含第一外間隙壁與第二外間隙壁設於未被第一內間隙壁與第二內間隙壁覆蓋之閘極全環繞結構之側壁上。依照一些實施例,閘極全環繞結構與第一外間隙壁及第二外間隙壁之間之交界面與閘極全環繞結構與第一內間隙壁及第二內間隙壁之間之交界面實質對齊。依照一些實施例,此半導體元件更包含第一外間隙壁與第二外間隙壁設於閘極全環繞結構之側壁上,其中鈍化層延伸於第一外間隙壁與第二外間隙壁下。依照一些實施例,此半導體元件更包含第一外間隙壁與第二外間隙壁分別設於第一內間隙壁與第二內間隙壁上,其中第一外間隙壁與第二外間隙壁之間的距離大於第一內間隙壁與第二內間隙壁之 間的距離。
在一些實施方式中,一種半導體元件包含基材、第一場效電晶體、以及第二場效電晶體。第一場效電晶體包含設於基材上之第一奈米結構層之堆疊,以及環繞包圍每個第一奈米結構區之第一磊晶區。每個第一奈米結構層包含第一奈米結構區與第二奈米結構區。第一場效電晶體更包含第一閘極全環繞結構設於第一奈米結構層之堆疊上且環繞包圍每個第二奈米結構區,以及第一內間隙壁與第二內間隙壁設於第一奈米結構層之堆疊中。第二場效電晶體包含設於基材上之第二奈米結構層之堆疊,以及環繞包圍每一個第三奈米結構區之第二磊晶區。第二奈米結構層具有與第一奈米結構層不同之材料組成,且每個第二奈米結構層包含第三奈米結構區與第四奈米結構區。第二磊晶區之導電型態不同於第一磊晶區。第二場效電晶體更包含第二閘極全環繞結構設於第二奈米結構層之堆疊上且環繞包圍每個第四奈米結構區,以及第三內間隙壁與第四內間隙壁設於第二奈米結構層之堆疊中。第三內間隙壁與第四內間隙壁具有不同於第一內間隙壁與第二內間隙壁的材料組成。
依照一些實施例,此半導體元件更包含第一鈍化層與第二鈍化層設於第一奈米結構層與第二奈米結構層的側壁上。依照一些實施例,至少第一內間隙壁與第二內間隙壁或第三內間隙壁與第四內間隙壁包含低k介電材料。依照一些實施例,至少第一內間隙壁與第二內間 隙壁或第三內間隙壁與第四內間隙壁包含低k介電氣體。依照一些實施例,第一內間隙壁與第二內間隙壁設於第一閘極全環繞之閘極次區的側壁上,其中閘極次區嵌設於第一奈米結構層之堆疊中。依照一些實施例,第一奈米層之堆疊由第一閘極全環繞結構之閘極次區、第一磊晶區之次區、以及第一內間隙壁與第二內間隙壁垂直分離於基材,而第二奈米結構層之堆疊與基材實體接觸。
在一些實施方式中,一種半導體元件之製造方法包含形成第一奈米結構層之堆疊於基材上,第一奈米結構層具有第一奈米結構區和第二奈米結構區、成長第一磊晶區與第二磊晶區環繞包圍每個第一奈米結構區、形成閘極全環繞結構於第一磊晶區與第二磊晶區之間且環繞包圍每個第二奈米結構區、形成第一內間隙壁與第二內間隙壁沿著閘極全環繞結構之閘極次區的側壁,其中閘極次區嵌設於第一奈米結構層之堆疊中、以及形成鈍化層沿著每個第一奈米結構區與第二奈米結構區的側壁。
依照一些實施例,於成長第一磊晶區與第二磊晶區前,進行形成第一內間隙壁與第二內間隙壁。依照一些實施例,於形成第一內間隙壁與第二內間隙壁前,進行形成鈍化層。依照一些實施例,形成第一奈米結構成之堆疊包含以一交替配置方式磊晶成長不同組成之第一半導體層與第二半導體層於基材上,以及蝕刻第一半導體層與第二半導體層,以形成第一奈米結構層與第二奈 米結構層。依照一些實施例,形成第一內間隙壁與第二內間隙壁包含蝕刻第二奈米結構層之第一區,以形成開口於第一奈米結構層之相鄰的第一區之間、沉積低k介電材料層於開口中、以及回蝕開口中之低k介電材料層。依照一些實施例,形成閘極全環繞結構包含蝕刻第二奈米結構層之第二區,以形成開口於第一奈米層之相鄰的第二區之間、沉積閘極介電材料層於開口中、以及沉積導電材料層於閘極介電材料層上以填充開口。
上述揭露概述數個實施方式的特徵,以使熟習此技藝者可更好地了解本揭露的態樣。熟習此技藝者應理解他們可輕易地利用本揭露作為基礎來設計或修飾其他製程和結構,以實現與在此所介紹之實施方式相同的目的及/或達成相同優勢。熟習此技藝者也應了解這種均等的架構並未偏離本揭露之精神和範圍,且他們可在不偏離本揭露之精神和範圍下在此做出各種改變、替換、以及變動。
100:半導體元件
102A:場效電晶體
102B:場效電晶體
106:基材
108A:鰭狀結構
108B:鰭狀結構
109A:鈍化層
109B:鈍化層
110A:磊晶區/磊晶鰭狀區
110B:磊晶區/磊晶鰭狀區
111:間隔
112A:閘極結構/閘極全環繞結構
112B:閘極結構/閘極全環繞結構
116:蝕刻終止層
118:層間介電層
120A:奈米結構區/奈米結構通道區
122A:奈米結構區
138:淺溝渠隔離區
138a:氮化層及/或氧化層
138b:絕緣層

Claims (10)

  1. 一種半導體元件,包含:一基材;複數個奈米結構層之一堆疊,設於該基材上,該些奈米結構層具有複數個第一奈米結構區和複數個第二奈米結構區;一第一源極/汲極區與一第二源極/汲極區,設於該基材上,其中每一該第一源極/汲極區和該第二源極/汲極區包含一磊晶區環繞包圍每一該些第一奈米結構區;一閘極全環繞結構,設於該第一源極/汲極區與該第二源極/汲極區之間且環繞包圍每一該些第二奈米結構區;一第一內間隙壁,設於該第一源極/汲極區之一磊晶次區與該閘極全環繞結構之一閘極次區之間;一第二內間隙壁,設於該第二源極/汲極區之一磊晶次區與該閘極全環繞結構之另一閘極次區之間;以及一鈍化層,設於該些第一奈米結構區與該些第二奈米結構區之複數個側壁上。
  2. 如請求項1所述之半導體元件,其中該第一內間隙壁與該第二內間隙壁設於該些閘極次區之複數個側壁上,該些閘極次區嵌設於該些奈米結構層之該堆疊之相鄰之該些奈米結構層之間。
  3. 如請求項1所述之半導體元件,更包含一第 一外間隙壁和一第二外間隙壁,設於該閘極全環繞結構之複數個側壁上,其中該鈍化層延伸於該第一外間隙壁與該第二外間隙壁下。
  4. 如請求項1所述之半導體元件,更包含一第一外間隙壁與一第二外間隙壁,分別設於該第一內間隙壁與該第二內間隙壁上,其中該第一外間隙壁與該第二外間隙壁之間之一距離大於該第一內間隙壁與該第二內間隙壁之間之一距離。
  5. 一種半導體元件,包含:一基材;一第一場效電晶體(FET),包含:複數個第一奈米結構層之一堆疊,設於該基材上,其中每一該些第一奈米結構層包含一第一奈米結構區與一第二奈米結構區;一第一磊晶區,環繞包圍每一該些第一奈米結構區;一第一閘極全環繞結構,設於該些第一奈米結構層之該堆疊上且環繞包圍每一該些第二奈米結構區;以及一第一內間隙壁和一第二內間隙壁,設於該些第一奈米結構層之該堆疊中;以及一第二場效電晶體,包含:複數個第二奈米結構層之一堆疊,設於該基材上,其中該些第二奈米結構層具有與該些第一奈米結構層不同 之一材料組成,其中每一該些第二奈米結構層包含一第三奈米結構區與一第四奈米結構區;一第二磊晶區,環繞包圍每一該些第三奈米結構區,其中該第二磊晶區之一導電類型不同於該第一磊晶區;一第二閘極全環繞結構,設於該些第二奈米結構層之該堆疊上且環繞包圍每一該些第四奈米結構區;以及一第三內間隙壁和一第四內間隙壁,設於該些第二奈米結構層之該堆疊中,其中該第三內間隙壁和該第四內間隙壁具有一材料組成不同於該第一內間隙壁與該第二內間隙壁。
  6. 如請求項5所述之半導體元件,其中至少該第一內間隙壁與該第二內間隙壁或該第三內間隙壁與該第四內間隙壁包含一低k介電材料。
  7. 如請求項5所述之半導體元件,其中至少該第一內間隙壁與該第二內間隙壁或該第三內間隙壁與該第四內間隙壁包含一低k介電氣體。
  8. 一種半導體元件之製造方法,包含:形成複數個第一奈米結構層之一堆疊於一基材上,該些第一奈米結構層具有複數個第一奈米結構區與複數個第二奈米結構區;成長一第一磊晶區與一第二磊晶區環繞包圍每一該些第 一奈米結構區;形成一閘極全環繞結構於該第一磊晶區與該第二磊晶區之間且環繞包圍每一該些第二奈米結構區;形成一第一內間隙壁與一第二內間隙壁沿著該閘極全環繞結構之複數個閘極次區之複數個側壁,其中該些閘極次區嵌設於該些第一奈米結構層之該堆疊中;以及形成一鈍化層沿著每一該些第一奈米結構區與該些第二奈米結構區之複數個側壁。
  9. 如請求項8所述之方法,其中於成長該第一磊晶區和該第二磊晶區之前,進行形成該第一內間隙壁與該第二內間隙壁。
  10. 如請求項8所述之方法,其中於形成該第一內間隙壁和該第二內間隙壁之前,進行形成該鈍化層。
TW110102910A 2020-03-03 2021-01-26 半導體元件及其製造方法 TWI778504B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/807,303 US11233149B2 (en) 2020-03-03 2020-03-03 Spacer structures for semiconductor devices
US16/807,303 2020-03-03

Publications (2)

Publication Number Publication Date
TW202135230A TW202135230A (zh) 2021-09-16
TWI778504B true TWI778504B (zh) 2022-09-21

Family

ID=77388883

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102910A TWI778504B (zh) 2020-03-03 2021-01-26 半導體元件及其製造方法

Country Status (5)

Country Link
US (3) US11233149B2 (zh)
KR (1) KR102426246B1 (zh)
CN (1) CN113345963B (zh)
DE (1) DE102020106441A1 (zh)
TW (1) TWI778504B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11233149B2 (en) * 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
US20230118779A1 (en) * 2021-10-14 2023-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multigate Device Structure with Engineered Gate
KR20240028674A (ko) * 2022-08-25 2024-03-05 삼성전자주식회사 반도체 장치

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170141207A1 (en) * 2015-11-13 2017-05-18 International Business Machines Corporation Nanosheet mosfet with full-height air-gap spacer
WO2017111774A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Transistor with inner-gate spacer
WO2017111770A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Transistor with dual-gate spacer
US10134640B1 (en) * 2017-07-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10374059B2 (en) * 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US20190341465A1 (en) * 2018-04-03 2019-11-07 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
US20190341450A1 (en) * 2018-05-03 2019-11-07 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080303037A1 (en) * 2007-06-04 2008-12-11 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8786018B2 (en) * 2012-09-11 2014-07-22 International Business Machines Corporation Self-aligned carbon nanostructure field effect transistors using selective dielectric deposition
US20140091279A1 (en) 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9184269B2 (en) 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
JP6856651B2 (ja) * 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
KR102400558B1 (ko) 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
KR102385567B1 (ko) 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10290548B2 (en) 2017-08-31 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102471539B1 (ko) 2017-12-27 2022-11-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10566438B2 (en) 2018-04-02 2020-02-18 International Business Machines Corporation Nanosheet transistor with dual inner airgap spacers
US10825933B2 (en) 2018-06-11 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10651314B2 (en) 2018-06-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer and methods for producing the same
US11233149B2 (en) * 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170141207A1 (en) * 2015-11-13 2017-05-18 International Business Machines Corporation Nanosheet mosfet with full-height air-gap spacer
WO2017111774A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Transistor with inner-gate spacer
WO2017111770A1 (en) * 2015-12-23 2017-06-29 Intel Corporation Transistor with dual-gate spacer
US10134640B1 (en) * 2017-07-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10374059B2 (en) * 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US20190341465A1 (en) * 2018-04-03 2019-11-07 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
US20190341450A1 (en) * 2018-05-03 2019-11-07 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates

Also Published As

Publication number Publication date
US11233149B2 (en) 2022-01-25
CN113345963B (zh) 2024-05-28
KR102426246B1 (ko) 2022-07-28
CN113345963A (zh) 2021-09-03
TW202135230A (zh) 2021-09-16
KR20210112216A (ko) 2021-09-14
US11881530B2 (en) 2024-01-23
DE102020106441A1 (de) 2021-09-09
US20210280716A1 (en) 2021-09-09
US20220149178A1 (en) 2022-05-12
US20230387302A1 (en) 2023-11-30

Similar Documents

Publication Publication Date Title
US20200152773A1 (en) Fin structures having varied fin heights for semiconductor device
US11791397B2 (en) Field effect transistor with negative capacitance dielectric structures
TWI778504B (zh) 半導體元件及其製造方法
US10868114B2 (en) Isolation structures of semiconductor devices
US11264485B2 (en) Spacer structure for semiconductor device
US20230411455A1 (en) Core-Shell Nanostructures For Semiconductor Devices
US11929422B2 (en) Passivation layers for semiconductor devices
US20220344495A1 (en) Fin structures having varied fin heights for semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent