TW202027161A - 蝕刻方法及電漿處理裝置 - Google Patents

蝕刻方法及電漿處理裝置 Download PDF

Info

Publication number
TW202027161A
TW202027161A TW108129968A TW108129968A TW202027161A TW 202027161 A TW202027161 A TW 202027161A TW 108129968 A TW108129968 A TW 108129968A TW 108129968 A TW108129968 A TW 108129968A TW 202027161 A TW202027161 A TW 202027161A
Authority
TW
Taiwan
Prior art keywords
substrate
gas
plasma
region
chamber
Prior art date
Application number
TW108129968A
Other languages
English (en)
Other versions
TWI811432B (zh
Inventor
岩野光紘
細谷正德
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202027161A publication Critical patent/TW202027161A/zh
Application granted granted Critical
Publication of TWI811432B publication Critical patent/TWI811432B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

提供一種可提升針對基板所適用的蝕刻選擇性及該蝕刻的面內均勻性 的方法。
例示實施形態相關之方法中,係以第1區域會在基板內較第2區域要更深的位置處提供其上面的方式,藉由電漿蝕刻來蝕刻第1區域。接著,在電漿處理裝置之腔室內藉由生成碳化氫氣體之電漿,來在基板上形成有包含碳之沉積物。接著,藉由電漿蝕刻,來進一步地蝕刻第1區域。在碳化氫氣體之電漿的生成中,會藉由電磁鐵來形成有在基板邊緣側上會具有較基板中心上之水平成分要大的水平成分的磁場分布。

Description

蝕刻方法及電漿處理裝置
本揭露例示的實施形態係關於一種蝕刻方法及電漿處理裝置。
電子元件製造中,係使用電漿處理裝置來進行電漿蝕刻。電漿蝕刻中,基板之第1區域會相對於該基板之第2區域來選擇性地被蝕刻。第2區域係由與第1區域之材料不同的材料所形成。專利文獻1記載有相對於由氮化矽所形成之第2區域來選擇性地蝕刻由氧化矽所形成之第1區域的方法。
專利文獻1所記載的方法中,係在基板上形成氟碳沉積物。為了形成沉積物,便會在電漿處理裝置之腔室內生成氟碳氣體之電漿。接著,將惰性氣體之離子供給至基板。為了生成惰性氣體之離子,便會在腔室內生成惰性氣體之電漿。藉由將惰性氣體離子供給至基板,便會使沉積物中之氟碳與第1區域之氧化矽反應。其結果,便會使第1區域被蝕刻。另一方面,第2區域則會藉由沉積物來被加以保護。
[先前技術文獻]
[專利文獻]
專利文獻1:日本特開2016-136606號公報
要求一種能提升針對基板所適用的蝕刻選擇性及該蝕刻的面內均勻性的技術。
一例示實施形態中,便提供一種方法,係相對於由與基板之第1區域之材料不同的材料所形成的基板之第2區域來選擇性地蝕刻第1區域。方法係包含:以第1區域會在基板內較第2區域要更深的位置處提供其上面的方式,藉由電漿蝕刻來蝕刻第1區域之工序。方法係進一步地包含;在蝕刻第1區域之 工序實行後,在將基板配置於其中的電漿處理裝置之腔室內,藉由生成碳化氫氣體之電漿,來在基板上形成包含碳之沉積物的工序。方法係進一步地包含:在生成碳化氫氣體之電漿的工序實行後,藉由電漿蝕刻來進一步地蝕刻第1區域的工序。在形成沉積物之工序中,係藉由電磁鐵,來形成有在基板之邊緣側上會具有較基板之中心上的水平成分要大的水平成分之磁場分布。
根據一例示實施形態,便可提升針對基板所適用的蝕刻選擇性及該蝕刻的面內均勻性。
1:電漿處理裝置
10:腔室
60:電磁鐵
W:基板
R1:第1區域
R2:第2區域
DPC:沉積物
圖1係顯示一例示實施形態相關之蝕刻方法的流程圖。
圖2係一範例基板的部分剖面圖。
圖3係概略性地顯示一例示實施形態相關之電漿處理裝置的圖式。
圖4係顯示圖3所示之電漿處理裝置的接地導體內部構成一範例的俯視圖。
圖5係顯示可在圖1所示之各工序ST1及工序ST3中實行的處理之範例的流程圖。
圖6(a)係方法MT之工序ST1中適用圖5所示之工序STa一範例的基板之部分剖面圖,圖6(b)係方法MT之工序ST1中適用圖5所示之工序STb一範例的基板之部分剖面圖。
圖7係適用方法MT之工序ST1的一範例之基板的部分剖面圖。
圖8係適用方法MT之工序ST2的一範例之基板的部分剖面圖。
圖9(a)係方法MT之工序ST3中適用工序STa一範例的基板之部分剖面圖,圖9(b)係方法MT之工序ST3中適用圖工序STb一範例的基板之部分剖面圖。
圖10係適用方法MT之工序ST3的一範例之基板的部分剖面圖。
圖11係另一範例之基板的部分剖面圖。
圖12(a)係方法MT之工序ST1中適用工序STa的另一範例的基板之部分剖面圖,圖12(b)係方法MT之工序ST1中適用圖工序STb的另一範例的基板之部分剖面圖。
圖13係適用方法MT之工序ST2的另一範例之基板的部分剖面圖。
圖14係適用方法MT之工序ST2的另一範例之基板的部分剖面圖。
圖15(a)係方法MT之工序ST3中適用工序STa的另一範例的基板之部分剖面圖,圖15(b)係方法MT之工序ST3中適用圖工序STb的另一範例的基板之部分剖面圖。
圖16係適用方法MT之工序ST3的另一範例之基板的部分剖面圖。
以下,便就各種例示實施形態來加以說明。
一例示實施形態中,便提供一種方法,係相對於由與基板之第1區域之材料不同的材料所形成的基板之第2區域來選擇性地蝕刻第1區域。方法係包含:以第1區域會在基板內較第2區域要更深的位置處提供其上面的方式,藉由電漿蝕刻來蝕刻第1區域之工序。方法係進一步地包含;在蝕刻第1區域之工序實行後,在將基板配置於其中的電漿處理裝置之腔室內,藉由生成碳化氫氣體之電漿,來在基板上形成包含碳之沉積物的工序。方法係進一步地包含:在生成碳化氫氣體之電漿的工序實行後,藉由電漿蝕刻來進一步地蝕刻第1區域的工序。在形成沉積物之工序中,係藉由電磁鐵,來形成有在基板之邊緣側上會具有較基板之中心上的水平成分要大的水平成分之磁場分布。
上述例示實施形態相關之方法中,沉積物係由來自碳化氫氣體的電漿的碳化學物種所形成。又,由於第1區域會在基板內較第2區域要更深的位置延伸,故沉積物之厚度在第2區域上會較大,而在第1區域上則較小。藉由此沉積物來保護第2區域並進一步地蝕刻第1區域。從而,便會使基板之第1區域相對於基板之第2區域的蝕刻選擇性提高。又,在碳化氫氣體之電漿的生成中,係藉由電磁鐵,形成有在基板之邊緣側上會具有較基板之中心上的水平成分要大 的水平成分之磁場分布。從而,便會在基板之邊緣側上提高電漿密度。其結果,便會使徑向之電漿密度分布均勻化。由於會在基板上沉積有來自具有此般分布之電漿的碳化學物種,故會提高沉積物厚度之面內均勻性。因此,便會提升基板之第1區域相對於基板之第2區域的選擇性蝕刻之面內均勻性。
一例示實施形態中,第1區域可由含矽材料所形成。
一例示實施形態中,第2區域可由含金屬材料所形成。
一例示實施形態中,第1區域係由氧化矽所形成,第2區域係由氮化矽所形成。
蝕刻第1區域之工序中,係可在將基板配置於其中之腔室內,生成包含氟碳氣體之處理氣體的電漿。
進一步地蝕刻第1區域之工序中,係可在將基板配置於其中的腔室內,生成包含氟碳氣體之處理氣體的電漿。
一例示實施形態中,蝕刻第1區域之工序以及進一步地蝕刻第1區域之工序的至少一者係可包含:為了在該基板上形成包含氟碳之沉積物,而在將基板配置於其中之腔室內,生成包含氟碳氣體之處理氣體的電漿之工序;以及為了使藉由將惰性氣體離子供給至基板而在基板上所形成的沉積物中之氟碳與含矽材料反應,來蝕刻第1區域,而在腔室內生成惰性氣體之電漿的工序。
一例示實施形態中,形成沉積物之工序與進一步地蝕刻該第1區域之工序係可交互地反覆。
另一例示實施形態中,便提供一種電漿處理裝置,係用以相對於由與基板之第1區域之材料不同的材料所形成的該基板之第2區域來選擇性地蝕刻該第1區域的電漿處理裝置。電漿處理裝置係具備:腔室;基板支撐台;氣體供給部;高頻電源;電磁鐵;驅動電源;以及控制部。基板支撐台係具有下部電極,並設置於該腔室內。氣體供給部係以將氣體供給至腔室內之方式來加以構成。高頻電源係以為了使腔室內之氣體激發來產生高頻電力的方式來加以構成。電磁鐵係以在腔室之內部空間中形成磁場的方式來加以構成。驅動電源係 以將電流供給至電磁鐵的方式來加以構成。控制部係以控制氣體供給部、高頻電源以及驅動電源之方式來加以構成。控制部係構成為實行第1控制、第2控制以及第3控制。第1控制係包含為了以第1區域會在基板內較第2區域要更深的位置處提供其上面的方式,藉由電漿蝕刻來蝕刻第1區域,而控制氣體供給部及高頻電源。第2控制係包含在蝕刻第1區域後,為了在第2區域上形成包含碳之沉積物,而以生成碳化氫氣體之電漿的方式來控制氣體供給部及高頻電源。第3控制係包含在第2區域上形成該沉積物後,為了藉由電漿蝕刻來進一步地蝕刻第1區域,而控制氣體供給部及高頻電源。第2控制係包含在碳化氫氣體之電漿的生成中,以藉由電磁鐵,來形成有在基板之邊緣側上會具有較基板之中心上的水平成分要大的水平成分之磁場分布的方式來控制驅動電源。
一例示實施形態中,第1區域係可由含矽材料所形成。第1控制係可包含以在腔室內生成包含氟碳氣體之處理氣體的電漿之方式來控制氣體供給部及高頻電源。第3控制係可包含以在腔室內生成包含氟碳氣體之處理氣體的電漿之方式來控制氣體供給部及高頻電源。
一例示實施形態中,第1區域係可由含矽材料所形成。第1控制及第3控制中之至少一者係包含:為了在基板上形成包含氟碳之沉積物,而在腔室內,以生成包含氟碳氣體之處理氣體的電漿之方式來控制氣體供給部及高頻電源之控制;以及為了使藉由將惰性氣體離子供給至基板而在基板上所形成的沉積物中之氟碳與含矽材料反應,來蝕刻第1區域,而在腔室內生成惰性氣體之電漿的方式,來控制氣體供給部及高頻電源之控制。
一例示實施形態中,控制部亦可交互地反覆第2控制及第3控制。
以下,便參照圖式就各種例示實施形態來詳細說明。另外,各圖式中係對相同或相當的部分附加相同符號。
圖1係顯示一例示實施形態相關之蝕刻方法的流程圖。一實施形態相關之蝕刻方法(以下,稱為「方法MT」)係為了相對於第2區域來選擇性蝕刻基板之第1區域而被加以實行。
圖2係一範例之基板的部分剖面圖。圖2所示之一範例的基板W係可藉由方法MT來被處理。基板W係可如晶圓般,具有圓盤形狀。基板W係具有第1區域R1及第2區域R2。基板W係可進一步地具有基底區域UR。第1區域R1及第2區域R2係設置於基底區域UR上。一實施形態中,第1區域R1係設置於基底區域UR上,第2區域R2係設置於第1區域R1上。第2區域R2會如遮罩般被圖案化。亦即,第2區域會提供開口。在另一實施形態中,第1區域R1係以可填埋第2區域R2所提供之凹部的方式來加以形成。進一步地,第1區域R1亦可以覆蓋第2區域之方式來加以形成。
第1區域R1係應被選擇性地蝕刻的區域。第2區域R2係由與第1區域R1不同之材料所形成。第1區域R1材料與第2區域R2材料並不限制。第1區域R1係由例如含矽材料所形成。第1區域R1之含矽材料係例如SiO2。第1區域R1之含矽材料亦可為低介電率材料。低介電率材料係例如SiOC或SiOCH。
第2區域R2係由例如含金屬材料所形成。含金屬材料係例如鈦、鎢、鋯、鋁、鉭、鈷或是釕中之任一金屬材料,或是該金屬材料之氧化物、氮化物或碳化物。第2區域R2係可由氮化矽所形成。
方法MT係在將基板配置在電漿處理裝置之腔室內的狀態下來加以實行。圖3係概略性地顯示一例示實施形態相關之電漿處理裝置的圖式。圖3所示之電漿處理裝置1係具備腔室10。腔室10係提供內部空間10s的容器。腔室10係具有略圓筒形狀。圖3所示之中心軸線AX係腔室10及內部空間10s的中心軸線。
腔室10係具有腔室本體12。腔室本體12係具有略圓筒形狀。腔室10之內部空間10s係被提供於腔室本體12內側。腔室本體12係包含側壁12a及底部12b。側壁12a會構成腔室10側壁。底部12b會構成腔室10底部。腔室本體12係由例如鋁之金屬所形成。腔室本體12內壁面係形成有具耐電漿性之膜。此膜可為例如陽極氧化膜、氧化釔製之膜的陶瓷製膜。腔室本體12係接地。
側壁12a係形成有通道12p。基板W會在內部空間10s與腔室10外部之間搬送時通過通道12p。通道12p可藉由閘閥12g來加以開閉。閘閥12g會沿著側壁12a來加以設置。
內部空間10s中係設置有基板支撐台,即支撐台14。支撐台14會藉由支撐體15來被加以支撐。支撐體15係具有圓筒形狀。支撐體15係從腔室本體12之底部12b來延伸至上方。支撐體15係具有絕緣性。支撐體15係由例如陶瓷所形成。
支撐台14係以支撐基板W之方式來加以構成。支撐台14會與腔室10共有中心軸線AX。支撐台14會提供載置區域14r。此載置區域14r中心會位在中心軸線AX上。基板W會以使其中心位在中心軸線AX上的方式來載置於載置區域14r。
支撐台14係包含電極板16、下部電極18以及靜電夾具20。電極板16係具有略圓盤形狀。電極板16係具有導電性。電極板16係由鋁之金屬所形成。下部電極18係具有圓盤形狀。下部電極18係具有導電性。下部電極18係由鋁之金屬所形成。下部電極18係被搭載於電極板16上。下部電極18會電性連接於電極板16。
下部電極18中係形成有流道18p。流道18p係在下部電極18中延伸為例如漩渦狀。流道18p係從熱交換媒體之循環裝置22(例如冷卻單元)來供給有熱交換媒體(例如冷媒)。循環裝置22係設置於腔室10外部。供給至流道18p的熱交換媒會回到循環裝置22。藉由熱交換媒體與下部電極18的熱交換,來控制支撐台14上所載置的基板W之溫度。
靜電夾具20係設置於下部電極18上。靜電夾具20係具有略圓盤形狀。靜電夾具20係具有本體及電極。靜電夾具20之本體係介電體製(例如陶瓷製)。靜電夾具20之電極係導電性之膜,並會設置在靜電夾具20之本體中。靜電夾具20之電極係透過開關來連接有直流電源24。靜電夾具20會提供上述載置區域14r。在將基板W載置於靜電夾具20上(載置區域14r上)的狀態下,在將來自直 流電源24之直流電壓施加至靜電夾具20之電極時,便會在基板W與靜電夾具20之間產生靜電吸力。藉由所產生之靜電吸力,基板W便會被吸附至靜電夾具20,而藉由靜電夾具20來被加以保持。電漿處理裝置1係可設置有會將導熱氣體(例如He氣體)供給至靜電夾具20與基板W下面之間的導熱氣體供給管線。
靜電夾具20內部係可設置有一個以上的加熱器(例如一個以上的電阻加熱元件)。藉由將來自加熱控制器之電力供給至一個以上的加熱器,便會使該一個以上的加熱器發熱,以調整靜電夾具20之溫度甚至是基板W之溫度。
支撐台14上係搭載有聚焦環FR。聚焦環FR會以圍繞靜電夾具20及基板W之邊緣的方式來加以配置。聚焦環FR係環狀板,並由矽、石英的含矽材料所形成。聚焦環FR係為了得到電漿處理之均勻性而被加以使用。
在支撐體15周邊係設置有筒狀導體26。導體26係接地。導體26上方係以圍繞支撐台14之方式來設置有筒狀絕緣體28。絕緣體28係由石英之陶瓷所形成。支撐台14與腔室本體12之側壁12a之間係形成有排氣路徑。排氣路徑係設置有緩衝板30。緩衝板30係環狀板。緩衝板30係在其板厚方向形成有會貫穿緩衝板30之複數孔。緩衝板30係藉由在由鋁之金屬所形成的構件表面形成氧化釔的耐電漿性披覆膜來加以構成。
緩衝板30下方係將排氣管32連接至腔室本體12之底部12b。排氣管32係可連通於排氣路徑。排氣管32係連接有排氣裝置34。排氣裝置34係包含自動壓力控制閥以及渦輪分子泵的減壓泵。藉由作動排氣裝置34,來將內部空間10s之壓力設定在指定之壓力。
支撐台14上方係設置有上部電極36。在上部電極36與支撐台14之間係介設有內部空間10s的一部分。上部電極36會以關閉腔室本體12之上部開口的方式來加以設置。在上部電極36與腔室本體12之上端部之間介設有構件37。構件37會由絕緣性材料所形成。構件37係可由陶瓷,例如石英所形成。一實施形態中,係可在上部電極36與腔室本體12之上端部之間介設有構件37及下述接地導體的一部分。
一實施形態中,上部電極36會構成噴淋頭。上部電極36在一實施形態中,係包含頂板38及支撐體40。頂板38係由例如矽所形成。或者,頂板38會藉由在鋁所形成之構件表面設置由氧化釔之陶瓷所形成的披覆膜來加以構成。頂板38係形成有會於其板厚方向貫穿頂板38之複數氣體噴出孔38h。
支撐體40係設置於頂板38上。支撐體40係以會裝卸自如地支撐頂板38之方式來加以構成。支撐體40係由鋁之導電性材料所形成。支撐體40內部係形成有氣體擴散室40d。支撐體40係形成有複數孔40h。複數孔40h會從氣體擴散室40d來延伸至下方。複數孔40h會分別連通於複數氣體噴出孔38h。
氣體擴散室40d係連接有氣體供給部41。氣體供給部41會以將氣體供給至腔室10內,亦即內部空間10s的方式來加以構成。氣體供給部41係以可輸出在方法MT中所使用的複數氣體之方式來加以構成。一實施形態中,方法MT中所使用的複數氣體係包含氟碳氣體、惰性氣體以及碳化氫氣體。氟碳氣體係包含例如C4F6氣體、C4F8氣體及C6F8氣體中之一者以上的氣體,亦可為其他氟碳氣體。惰性氣體係例如Ar氣體,亦可為其他氣體。碳化氫氣體係例如CH4氣體,亦可為CH4氣體以外的碳化氫氣體。方法MT所使用的複數氣體亦可進一步地包含其他氣體。方法MT所使用的複數氣體亦可進一步地包含氮氣(N2氣體)及含氧氣體(例如O2氣體或CO氣體)中之一種以上的氣體。氣體供給部41係具有複數流量控制器及複數閥。氣體供給部41係以可個別調整應輸出之一種以上的氣體流量之方式來加以構成。從氣體供給部41所輸出之氣體會透過氣體擴散室40d及複數孔40h來從複數氣體噴出孔38h噴出至內部空間10s。
支撐體40係形成有流道40p。流道40p係連接有冷卻單元42。在流道40p與冷卻單元40之間係循環有冷卻水的冷媒。藉由從冷卻單元42供給至流道40p的冷媒與上部電極36之間的熱交換,來調整上部電極36之溫度。
電漿處理裝置1係進一步地具備第1高頻電源43及第2高頻電源44。第1高頻電源43及第2高頻電源44係設置於腔室10外部。第1高頻電源43係以主要產生用以生成電漿之第1高頻電力的方式來加以構成。第1高頻電力之頻率 並未限制,可為例如100MHz。第1高頻電源43會透過匹配器45及供電導體48來電性連接於上部電極36。匹配器45係具有用以匹配第1高頻電源43之輸出阻抗與負載側(上部電極36側)之阻抗的匹配電路。供電導體48會以其下端連接於上部電極36。供電導體48會從上部電極36延伸至上方。供電導體48係筒狀或棒狀之導體,其中心軸線會大致一致於中心軸線AX。另外,第1高頻電源43亦可不連接於上部電極36,而是透過匹配器45來電性連接於下部電極18。
第2高頻電源44係以主要產生用以將離子吸引至基板W的第2高頻電力,亦即偏壓用高頻電力的方式來加以構成。第2高頻電力之頻率會較第1高頻電力之頻率要低。一實施形態中,第2高頻電力之頻率可高於13.56MHz。一實施形態中,第2高頻電力之頻率可為40MHz以上。一實施形態中,第2高頻電力之頻率可為60MHz以上。第2高頻電源44會透過匹配器46來電性連接於下部電極18。匹配器46係具有用以匹配第2高頻電源44之輸出阻抗與負載側(下部電極18側)之阻抗的匹配電路。
電漿處理裝置1係進一步地具備接地導體50。接地導體50係具有導電性。接地導體50係由鋁之金屬所形成。接地導體50會接地。接地導體50會在腔室本體12上方以覆蓋上部電極36之方式來加以延伸。供電導體48會通過藉由接地導體50所圍繞之空間來朝上方延伸,並在接地導體50外部透過匹配器45來連接於第1高頻電源43。
電漿處理裝置1之內部空間10s中係可形成有在基板W中心上具有較高之電場強度,在基板W邊緣側上則具有較低之電場強度的電場強度分布。亦即,在內部空間10s中,係可形成有對應於放射方向(亦即徑向)中起自中心軸線AX的距離之增加而使電場強度減少的不均勻電場強度分布。在這不均勻的電場強度分布下,電漿密度會在中心軸線AX附近較高,而在遠離中心軸線AX之處則較低。亦即,會相對於中心軸線AX而在放射方向中形成有不均勻的電漿密度分布。電漿處理裝置1為了得到均勻的電漿密度分布,係進一步地具備電磁鐵60。
如圖3所示,電磁鐵60會配置於上部電極36上方。電磁鐵60會在內部空間10s中,形成有在從中心軸線AX遠離的位置具會有較中心軸線AX上之水平成分要大的水平成分之磁場分布。亦即,電磁鐵60會在內部空間10s形成具有會對應於從中心軸線AX朝放射方向之距離增加而使其大小增加的水平成分之磁場分布。在形成有較大水平成分之磁場之處會使電子的停留時間變長。其結果,電漿密度便會在形成有較大水平成分之磁場之處上升。從而,根據電漿處理裝置1,便可得到相對於中心軸線AX而在放射方向中均勻的電漿密度分布。因此,根據電漿處理裝置1,便可提升針對基板W之處理的面內均勻性。
一實施形態中,電磁鐵60係具有磁軛62及線圈64。磁軛62係由磁性材料所形成。磁軛62係具有基礎部62a及複數筒狀部62b。基礎部62a會成為略環狀且略板狀,且延伸於相對於中心軸線AX來正交的方向。各複數筒狀部62b係具有筒形狀,且會從基礎部62a延伸至下方。複數筒狀部62b會相對於中心軸線AX來設置為同軸狀。線圈64會繞中心軸線AX來捲繞。線圈64係設置在徑向中相鄰的兩個筒狀部62b之間。另外,電磁鐵60係可具有一個以上的線圈64。在電磁鐵60之線圈64個數為複數個的情況,複數個線圈64會相對於中心軸線AX來設置為同軸狀。
電磁鐵60之線圈64會透過配線68來連接於驅動電源66。在將來自驅動電源66之電流施加至線圈64時,便會藉由電磁鐵60來形成磁場。在藉由電磁鐵60所形成之磁場的向量角度為45°之處可有效地達成放射方向(徑向)之電子封閉效果(電子擴散抑制效果)以及電子消滅抑制效果(抑制電子到達至電極的效果)的兩種效果。從而,在該處電漿密度會較高。因此,在基板W半徑為例如150mm的情況,電磁鐵60便可以使磁場之向量角度為45°之處與中心軸線AX之間的距離成為135mm以上,185mm以下的方式來加以構成。一實施形態中,電磁鐵60的一個線圈64的內徑與外徑的平均值係設定在中心軸線AX與基板W的邊緣之間的距離以上。在基板W半徑為150mm的情況,電磁鐵60的一個線圈64內徑與外徑的平均值係設定為150mm以上,250mm以下。另外,磁場的向量角度在該磁場 僅具有向下方向之成分的情況為0°,在具有僅放射方向之成分(水平成分)的情況為90°。從而,在磁場之向量角度為45°的情況,該磁場便具有水平成分與垂直成分兩者。
在將電磁鐵60配置在藉由覆蓋上部電極之接地導體所圍繞的空間內時,第1高頻便會流入至電磁鐵60及/或連接電磁鐵與電源(驅動電源)之配線。其結果,便會使內部空間s中之電場強度局部性地改變。從而,電磁鐵60便會被配置在接地導體外側。然而,在相對於接地導體上端而將電磁鐵60配置於上方空間時,便會使從電磁鐵60到內部空間10s的垂直方向距離變長,而若是不將較大的電流施加至線圈64的話,便無法有效率地在內部空間10s中形成大小足夠的磁場。又,在將電磁鐵60配置於接地導體側邊(從中心軸線在放射方向中的接地導體外側)時,在形成有具較大水平成分的磁場之處,或是形成有其向量具有45°之角度的磁場之處便會成為內部空間10s外部之處。為了有效率地在內部空間10s中形成適於得到均勻電漿密度分布的磁場分布,接地導體50便會提供將電磁鐵60配置於其中的外部空間ES。外部空間ES會位在較接地導體50上端要靠近內部空間10s,且會相對於上部電極36而向上方遠離,並會相對於上部電極36而藉由接地導體50來加以遮蔽。
接地導體50係具備第1部分51、第2部分52及第3部分53。第1部分51係具有筒狀。第1部分51之中心軸線係與中心軸線AX大致一致。第1部分51會從腔室本體12來延伸至上方。圖3所示範例中,第1部分51會從腔室本體12之側壁12a上端延伸至上方。第1部分51之下端部分會介設在構件37與側壁12a上端之間。
第2部分52會從上部電極36朝上方分離,且從第1部分51朝向中心軸線AX來加以延伸。第2部分52會成為相對於中心軸線AX而朝交叉或正交之方向所延伸的板狀。第1部分51與第2部分52會在上部電極36上提供第1空間IS1。第1空間IS1係接地導體50之內側(亦即上部電極36側)的空間一部分。藉由此第1空間IS1,便可在垂直方向中於上部電極36與接地導體50之間確保距離。從而,便 會抑制接地導體50與上部電極36之間的電容性耦合。上部電極36上面與接地導體50之第2部分52下面之間的垂直方向之距離係設定為例如60mm以上的距離。
第3部分係具有筒狀。第3部分53之中心軸線會與中心軸線AX大致一致。第3部分53會在較第1部分51要靠中心軸線要附近處延伸。第3部分53會從第2部分52延伸至上方。第3部分53會提供第2空間IS2。第2空間IS2係第2部分52之內側空間,且為接地導體50之內側(亦即上部電極36側)空間的一部分。第2空間IS2會連接於第1空間IS1。另外,供電導體48會通過第1空間IS1及第2空間IS2來延伸至上方。
外部空間ES係在第3部分53外側、第2部分52上及內部空間10上方藉由接地導體50來加以提供。外部空間ES會在第3部分53外側及第2部分52上以中心軸線AX為中心來延伸於周圍方向。將電磁體60配置於此外部空間ES。另外,外部空間ES中所配置之電磁鐵60下端與上部電極36上面之間的垂直方向距離係大於60mm。又,電磁鐵60下端與支撐台14上所載置之基板W之間的垂直方向距離可為230mm以下。
外部空間ES中所配置之電磁鐵60與內部空間10s之間的距離會較短。又,如上述,電磁鐵60係在內部空間10s中形成會中心軸線AX附近具有較低水平成分,而在從中心軸線遠離之位置具有較大水平成分之磁場分布。從而,便可藉由相對於接地導體50而配置於外側的電磁鐵60,來有效率地在內部空間10s中形成適於得到均勻電漿密度分布的磁場分布。
電磁鐵60之線圈60係如上述般連接有驅動電源66。電磁鐵60及驅動電源66會相對於接地導體50而配置於外側。從而,即便不在線圈64與驅動電源66之間設置有用以防止高頻朝驅動電源66流入之濾波器亦無妨。
一實施形態中,接地導體50係進一步地具有第4部分54、第5部分55及第6部分56。第4部分54係在第2部分52上方相對於中心軸線AX而在放射方向從第3部分53加以延伸。第4部分54係成為會延伸於相對於中心軸線AX而交叉或正交的方向之板狀。第5部分55係具有筒狀。第5部分55之中心軸線係大致一 致於中心軸線AX。第5部分55會較第3部分53要從中心軸線遠離,並從第4部分來延伸至上方。第6部分56係在第4部分54上方從第5部分55朝中心軸線AX來加以延伸。第6部分56係成為會延伸於相對於中心軸線AX而交叉或正交的方向之板狀。一實施形態中,接地導體50係進一步地具有從第6部分來延伸至供電導體48附近的蓋部57。
第4部分54、第5部分55及第6部分56會提供第3空間IS3。第3空間IS3係藉由第4部分54、第5部分55及第6部分56所圍繞之空間,且為接地導體50內側空間的一部分。第3空間IS3會連續於第2空間IS2。供電導體48會進一步地通過第3空間IS3來延伸至上方。另外,圖3所示之範例中,第1~第6部分雖可以三個構件來加以構成,但構成接地導體50之構件個數可為任意個數。
以下,便與圖3一同參照圖4。圖4係顯示圖3所示之電漿處理裝置的接地導體內部構成一範例的俯視圖。圖4中係顯示以水平面來裁切出接地導體50之第5部分55的狀態。一實施形態中,電漿處理裝置1如圖3及圖4所示,係進一步地具備有管體71。管體71係從上部電極36通過第1空間IS1及第2空間IS2來延伸至上方,而會通過第3空間IS3來相對於接地導體50而延伸至側邊及外側。管體71係相對於接地導體50而在外側連接於冷卻單元42。來自冷卻單元42之冷媒會透過管體71來被供給至流道40p。在第3空間IS3內,會藉由接地導體50之第4部分54來從上部電極36將管體71實質遮蔽。
電漿處理裝置1係進一步地具備管體72。管體72會通過第1空間IS1及第2空間IS2來延伸至上方,而會通過第3空間IS3來相對於接地導體50而延伸至側邊及外側。管體72係相對於接地導體50而在外側連接於冷卻單元42。冷媒會從流道40p透過管體72來回到冷卻單元42。在第3空間IS3內,會藉由接地導體50之第4部分54來從上部電極36將管體72實質遮蔽。
一實施形態中,電漿處理裝置1係進一步地具備管體73。管體73會從上部電極36通過第1空間IS1及第2空間IS2來延伸至上方,而會通過第3空間IS3來相對於接地導體50而延伸至側邊及外側。管體73係相對於接地導體50而在 外側連接於氣體供給部41。從氣體供給部41所輸出之氣體會透過管體73來被供給至上部電極36,亦即噴淋頭。在第3空間IS3內,會藉由接地導體50之第4部分54來從上部電極36將管體73實質遮蔽。另外,氣體供給部41與上部電極36(亦即噴淋頭)亦可透過複數管體來彼此連接。
一實施形態中,電漿處理裝置1係進一步地具備直流電源74及配線75。直流電源74會以產生施加至上部電極36之負極性直流電壓的方式來加以構成。配線75會將直流電源74與上部電極36彼此連接。配線75係可包含線圈75c。線圈75c係設置在第3空間IS3中。配線75會從上部電極36通過第1空間IS1及第2空間IS2來延伸至上方,並會通過第3空間IS3來相對於接地導體50而延伸至側邊及外側。配線75會從第5構件55及接地導體50來被電性絕緣。配線75會相對於接地導體50而在外側連接於直流電源74。在第3空間IS3內,會藉由接地導體50之第4部分54來從上部電極36將配線75實質遮蔽。
一實施形態中,電漿處理裝置1係進一步地具備控制部80。控制部80會以控制電漿處理裝置1之各部的方式來加以構成。控制部80可為電腦裝置。控制部80係可具有處理器、稱為記憶體的記憶裝置,稱為鍵盤、滑鼠、觸控面板的輸入裝置,顯示裝置,以及控制訊號之輸出入介面等。記憶裝置係記憶有控制程式及配方資料。控制部80之處理器會實行控制程式,並依照配方資料而為了控制電漿處理裝置1之各部來送出控制訊號。控制部80係可為了實行方法MT來控制電漿處理裝置1之各部。
再次參照圖1。又,除了圖1之外,還參照圖5、圖6(a)、圖6(b)、圖7、圖8、圖9(a)、圖9(b)及圖10。圖5係顯示可在圖1所示之各工序ST1及工序ST3中實行的處理之範例的流程圖。圖6(a)係方法MT之工序ST1中適用圖5所示之工序STa一範例的基板之部分剖面圖,圖6(b)係方法MT之工序ST1中適用圖5所示之工序STb一範例的基板之部分剖面圖。圖7係適用方法MT之工序ST1的一範例之基板的部分剖面圖。圖8係適用方法MT之工序ST2的一範例之基板的部分剖面圖。圖9(a)係方法MT之工序ST3中適用工序STa一範例的基板之部分剖面 圖,圖9(b)係方法MT之工序ST3中適用圖工序STb一範例的基板之部分剖面圖。圖10係適用方法MT之工序ST3的一範例之基板的部分剖面圖。以下,關於方法MT便以使用電漿處理裝置1來將方法MT適用在圖2所示之基板W的情況為範例來詳細說明。又,以下,便就控制部80所致電漿處理裝置1的各部控制來加以說明。
方法MT中,係將基板W載置於支撐台14上(靜電夾具20上),而藉由靜電夾具20來加以保持。然後,方法MT係實行工序ST1。工序ST1係藉由電漿蝕刻來蝕刻第1區域。工序ST1中,第1區域R1係相對於第2區域R2而被選擇性蝕刻。又,工序ST1中,第1區域R1會以在基板W內較第2區域R2要深之位置處提供其上面的方式來被加以蝕刻。
為了實行工序ST1,控制部80會實行第1控制。第1控制中,控制部80會控制氣體供給部41及第1高頻電源43及/或第2高頻電源44。第1控制中,控制部80會以調整腔室10內之壓力的方式來進一步地控制排氣裝置34。藉由實行第1控制,便會以第1區域R1在基板W內較第2區域R2要深之位置處提供其上面的方式來蝕刻第1區域R1。
一實施形態中,係在工序ST1中實行圖5所示之處理PE。處理PE係包含工序STa及工序STb。工序STa中,係在腔室10內生成包含氟碳氣體之處理氣體的電漿。工序STa中,係將來自生成之電漿的氟碳沉積在基板W上,以在基板W上形成沉積物DPF。根據工序ST1中之工序STa,便如圖6(a)所示,在基板W上形成有沉積物DPF。
工程STa所使用的氟碳氣體係可包含C4F6、C4F8及C6F8氣體中之一種以上的氣體。工序STa所使用的處理氣體除了氟碳氣體之外,還可進一步地包含一種以上的其他氣體。在基板W之第1區域R1由低介電率材料(例如SiOC或SiOCH)所形成的情況,工序STa所使用的處理氣體除了氟碳氣體之外,還可進一步地包含惰性氣體(例如Ar氣體)。或者,在基板W之第1區域R1由低介電率材料 (例如SiOC或SiOCH)所形成的情況,工序STa所使用的處理氣體除了氟碳氣體之外,還可進一步地包含惰性氣體(例如Ar氣體)及氮氣(N2氣體)。
在基板W之第1區域R1由SiO2所形成的情況,工序STa所使用的處理氣體除了氟碳氣體之外,還可進一步地包含惰性氣體(例如Ar氣體)。或者,在基板W之第1區域R1由SiO2所形成的情況,工序STa所使用的處理氣體除了氟碳氣體之外,還可進一步地包含惰性氣體(例如Ar氣體)及含氧氣體(例如O2氣體或CO氣體)。
用以實行工序STa之控制部80的控制(以下稱為「控制A」)係包含以將處理氣體供給至腔室10內的方式來控制氣體供給部41,並以供給第1高頻電力的方式來控制第1高頻電源43。控制A會以將腔室10內之壓力設定為指定壓力的方式來控制排氣裝置34。又,控制A係可進一步地包含以停止第2高頻電力之輸出的方式來控制第2高頻電源44。或者,控制A亦可進一步地包含以供給第2高頻電力之輸出的方式來控制第2高頻電源44。其中,工序STa中,第2高頻電力之電力程度係設定為會較下述工序STb的第2高頻電力之電力程度要低之程度。在工序ST1中實行處理PE的情況,第1控制係包含控制A。
接著工序STa的工序STb中,係在腔室10內生成惰性氣體之電漿。工序STb中,係將惰性氣體供給至腔室10內。工序STb中除了惰性氣體之外,亦可將N2氣體及/或O2氣體供給至腔室10內。在基板W之第1區域R1的含矽材料為低介電率材料(例如SiOC或SiOCH)的情況,工序STb亦可將Ar氣體、N2氣體與Ar氣體的混合氣體,或是N2氣體、O2氣體與Ar氣體的混合氣體供給至腔室10內。在基板W之第1區域R1的含矽材料為SiO2的情況,工序STb亦可將Ar氣體供給至腔室10內。工序STb中,係將來自惰性氣體之惰性氣體離子供給至基板W。其結果,便會使存在於第1區域R1的沉積物DPF中之氟碳與構成第1區域R1的材料反應,以蝕刻第1區域R1。另一方面,第2區域R2會藉由存在於其上的沉積物DPF來被加以保護。根據工序ST1中之工序STb,便會如圖6(b)所示蝕刻第1區域R1。
用以實行工序STb的控制部80之控制(以下稱為「控制B」)係包含以將包含惰性氣體之上述氣體供給至腔室10內之方式來控制氣體供給部41,並以供給第1高頻電力之方式來控制第1高頻電源43。控制B係可進一步地包含以供給第2高頻電力的方式來控制第2高頻電源44。又,控制B係可進一步地包含以將腔室10內之壓力設定為指定壓力的方式來控制排氣裝置34。
一實施形態中,係交互地反覆工序STa及工序STb。在此實施形態中,控制部80會以交互地反覆工序STa及工序STb的方式來反覆實行控制A及包含控制部之控制機制。在此實施形態中,係實行工序STc。工序STc中會判斷是否滿足停止條件。停止條件係用於是否停止工序STa及工序STb的交互地反覆之判斷的條件。停止條件係在例如工序STa及工序STb的交互地反覆次數達到既定次數的情況下便會被滿足。在工序STc中判斷為並未滿足停止條件的情況,便會再依序實行工序STa及工序STb。另一方面,在工序STc中判斷為滿足停止條件的情況,則結束處理PE之實行。另外,在處理PE中,亦可僅實行工序STa及工序STb各一次。在此情況下,處理PE便不包含工序STc。
根據處理PE,第2區域R2會藉由形成於其上的沉積物DPF來被加以保護。從而,便會抑制伴隨著第1區域R1之蝕刻的第2區域R2之蝕刻。在工序ST1中實行相關處理PE時,基板W便會被加工為圖7所示之形狀。亦即,基板W會以第1區域R1在基板W內較第2區域R2要深的位置處提供其上面的方式來被加以加工。
另一實施形態相關之工序ST1中,係可在將基板W配置於其中的腔室10內,生成包含氟碳氣體之處理氣體的電漿。在此實施形態中,第1區域R1係可藉由從電漿衝撞於基板W的氟碳活性基及/或氟活性基來被加以蝕刻。用以實行此實施形態之工序ST1的第1控制中,控制部80會以將處理氣體供給至腔室10內之方式來控制氣體供給部41。又,在用以實行此實施形態之工序ST1的第1控制中,控制部80會以將腔室10內之壓力設定為指定壓力的方式來控制排氣裝置34。進一步地,在用以實行此實施形態之工序ST1的第1控制中,控制部80係 以控制第1高頻電力之方式來控制第1高頻電源43,並以控制第2高頻電力之方式來控制第2高頻電源44。
在實行工序ST1後,便實行工序ST2。在工序ST2實行中,係將適用工序ST1的基板W收納於腔室10內。工序ST2中,係將碳化氫氣體供給至腔室10內。碳化氫氣體並不限定,為例如CH4氣體。工序ST2中除了碳化氫氣體之外亦可將惰性氣體(例如Ar氣體)供給至腔室內。工序ST2中,係激發被供給至腔室10內之氣體,而生成電漿。
工序ST2中,係在生成電漿時,藉由電磁鐵60來在腔室10內形成有磁場分布。具體而言,係藉由電磁鐵60。來形成有在基板邊緣側上會具有較基板W中心上的水平成分要大之水平成分的磁場分布。
工序ST2中,係如圖8所示在基板W上形成有包含來自電漿之碳的沉積物DPC。工序ST1之電漿蝕刻結果,第1區域R1便會在基板W內較第2區域R2要深的位置處延伸。從而,沉積物DPC之厚度係在第2區域R2上較大,而在第1區域R1上則較小。又,由於會藉由電磁鐵60來在腔室10內形成有上述磁場,故會相對於中心軸線AX而在放射方向中得到均勻的電漿密度分布。由於來自具有此般分布之電漿的碳化學物種會沉積在基板W上,故可提高沉積物DPC之厚度的面內均勻性。另外,圖8中,係省略掉在工序ST1結束後可殘留在基板W上的沉積物DPF。
為了實行工序ST2,控制部80會實行第2控制。第2控制中,控制部80係以將包含碳化氫氣體的上述氣體供給至腔室10內之方式來控制氣體供給部41,並以供給第1高頻電力之方式來控制第1高頻電源43。又,第2控制中,控制部80係以將腔室10內之壓力設定為指定壓力的方式來進一步地控制排氣裝置34。又,第2控制中,控制部80係以藉由電磁鐵60來形成上述磁場分布之方式來進一步地控制驅動電源66。在第2控制中,控制部80係可以停止第2高頻電力之輸出的方式來進一步地控制第2高頻電源44。或者,在第2控制中,控制部80係可以供給第2高頻電力之方式來進一步地控制第2高頻電源44。其中,第2控制中 之第2高頻電力之電力程度係以抑制離子衝撞所致之基板W的物理性蝕刻之方式來設定為較低程度。
在工序ST2實行後,便實行工序ST3。工序ST3係可在將適用工序ST2的基板W配置在腔室10內的狀態下來加以實行。工序ST3中係藉由電漿蝕刻來進一步地蝕刻第1區域R1。工序ST3中,第1區域R1係相對於第2區域R2來被選擇性地蝕刻。為了實行工序ST3,控制部80會實行第3控制。第3控制中,控制部80會控制氣體供給部41及第1高頻電源43及/或第2高頻電源44。第3控制中,控制部80係以調整腔室10內之壓力的方式來進一步地控制排氣裝置34。藉由實行第3控制,便可藉由電漿蝕刻來進一步地蝕刻第1區域R1。
一實施形態相關之工序ST3中,亦可關聯工序ST1而實行上述處理PE。在工序ST3實行有處理PE的情況,便會於工序STa中,在腔室10內生成包含氟碳氣體之處理氣體的電漿。工序STa中,係將來自生成之電漿的氟碳沉積在基板W上,而在基板W上形成沉積物DPF。根據工序ST3中之工序STa,便會如圖9(a)所示,在基板W上形成沉積物DPF。用以實行工序ST3中之工序STa的控制部80之控制係上述控制A。
在工序ST3中實行處理PE的情況,工序STb中係在腔室10內生成惰性氣體之電漿。工序STb中,係將惰性氣體供給至腔室10內。工序STb中,除了惰性氣體之外,還可將N2氣體及/或O2氣體供給至腔室10內。工序STb中,係將來自惰性氣體之電漿的惰性氣體離子供給至基板W。其結果,便會使存在於第1區域R1上的沉積物DPF中之氟碳與構成第1區域R1的材料反應,而蝕刻第1區域R1。另一方面,第2區域R2便會藉由存在於其上的沉積物DPF來被加以保護。根據工序ST3中之工序STb,便會如圖9(b)所示蝕刻第1區域R1。用以實行工序ST3中之工序STb的控制部80之控制係上述控制B。
在工序ST3實行處理PE的情況,亦可將包含工序STa及工序STb的機制實行1次以上。又,為了在工序ST3中實行處理PE,控制部80係可將包含控制A及控制B之控制機制實行1次以上。
另一實施形態相關之工序ST3中,係可在將基板W配置於其中的腔室10內生成包含氟碳氣體的處理氣體之電漿。在此實施形態中,第1區域R1係可藉由從電漿來衝撞至基板W的氟碳活性基及/或氟活性基來被加以蝕刻。在用以實行此實施形態之工序ST3的第3控制中,控制部80係以將處理氣體供給至腔室10內之方式來控制氣體供給部41。又,在用以實行此實施形態之工序ST3的第3控制中,控制部80係以將腔室10內之壓力設定為指定壓力的方式來控制排氣裝置34。進一步地,在用以實行此實施形態之工序ST3的第3控制中,控制部80係以供給第1高頻電力之方式來控制第1高頻電源43,並以供給第2高頻電力之方式來控制第2高頻電源44。
一實施形態中,如圖1所示,係交互地反覆工序ST2及工序ST3。在此實施形態中,控制部80係交互地反覆實行第2控制及第3控制。在此實施形態中,係實行工序ST4。工序ST4中係判斷是否會滿足停止條件。停止條件係用於是否停止工序ST2及工序ST3的交互地反覆之判斷的條件。停止條件係在例如工序ST2及工序ST3之交互地反覆次數達到既定次數的情況被滿足。在工序ST4中判斷為未滿足停止條件的情況,便會依序再實行工序ST2與工序ST3。另一方面,在工序ST4中判斷滿足停止條件的情況,便結束方法MT。其結果,便如圖10所示蝕刻第1區域R1。另外,亦可將工序ST2及工序ST3各實行1次。在此情況,方法MT並不包含工序ST4。
上述例示實施形態相關之方法MT中,沉積物DPC係由來自碳化氫氣體之電漿的碳化學物種所形成。又,由於適用工序ST1的基板W中,第1區域R1會在基板W內較第2區域R2要深的位置處延伸,故沉積物DPC之厚度係在第2區域R2上較大,而在第1區域R1則會較小。藉由此沉積物DPC,便可保護第2區域R2並進一步地蝕刻第1區域R1。從而,便會提高基板W之第1區域R1相對於基板W之第2區域R2的蝕刻選擇性。又,工序ST2實行中,會藉由電磁鐵60來形成有在基板W之邊緣側上會具有較基板W中心上的水平成分要大之水平成分的磁場分布。從而,便會提高基板W之邊緣側上的電漿密度。其結果,便會使徑 向之電漿密度分布均勻化。由於會在基板W上沉積有來自具有此般分布的電漿之碳化學物種,故會提高沉積物DPC之厚度的面內均勻性。因此,便可提高基板W之第1區域R1相對於基板W之第2區域R2的選擇性蝕刻之面內均勻性。
一實施形態中,係如上述,會交互地反覆工序ST2與工序ST3。亦即,此實施形態中,係交互地反覆沉積物DPC之形成與第1區域R1的蝕刻。根據此實施形態,便可在進一步地實行工序ST3前,於基板W上再度形成沉積物DPC。從而,便可進一步地抑制第2區域R2之蝕刻,並進一步地提高第1區域R1之蝕刻選擇性。
以下,便就可適用方法MT之另一範例相關的基板來加以說明。圖11係另一範例之基板的部分剖面圖。圖11所示之基板WA亦可適用方法MT。基板WA係具有基底區域UR、第1區域R1及第2區域R2。一範例中,基板WA係在鰭型場效電晶體之製造中所得到的產物。
基底區域UR係由例如多晶矽所形成。基底區域UR在一範例中係鰭型區域,並具有略長方體形狀。基板WA係具有複數突出部PT。複數突出部PT係設置在基底區域UR上,並配列為彼此大致平行。一範例中,各複數突出部PT係閘極區域。
第2區域R2係由氮化矽所形成。第2區域R2係以覆蓋複數突出部PT及基底區域UR之方式來加以設置。第2區域R2會沿著複數突出部PT表面及相鄰之突出部PT之間的基底區域UR表面來加以延伸。第2區域R2會以在相鄰之突出部PT之間提供凹部之方式來加以設置。
第1區域R1係由氧化矽所形成。第1區域R1係設置在藉由第2區域R2所提供之上述凹部中。又,第1區域R1係以覆蓋第2區域R2的方式來加以設置。第1區域R1上係設置有遮罩MK。遮罩MK係以在藉由第2區域R2所提供之凹部上方提供開口之方式來被圖案化。遮罩MK開口之寬度會較藉由第2區域R2所提供的凹部之寬度要大。遮罩MK係由有機膜所形成之遮罩。遮罩MK係可藉由光微影技術來製作。
以下,便參照圖12(a)、圖12(b)、圖13、圖14、圖15(a)、圖15(b)及圖16。圖12(a)係方法MT之工序ST1中適用工序STa的另一範例的基板之部分剖面圖。圖12(b)係方法MT之工序ST1中適用圖工序STb的另一範例的基板之部分剖面圖。圖13係適用方法MT之工序ST2的另一範例之基板的部分剖面圖。圖14係適用方法MT之工序ST2的另一範例之基板的部分剖面圖。圖15(a)係方法MT之工序ST3中適用工序STa的另一範例的基板之部分剖面圖。圖15(b)係方法MT之工序ST3中適用圖工序STb的另一範例的基板之部分剖面圖。圖16係適用方法MT之工序ST3的另一範例之基板的部分剖面圖。
將圖11所示之基板WA配置在電漿處理裝置1之腔室10內,在方法MT之工序ST1中實行處理PE之工序STa時,便會在基板WA上形成有沉積物DPF。圖12(a)中,係顯示在工序ST1中於基板W深度方向蝕刻第1區域R1,而進一步地實行工序STa後之狀態的基板WA。在實行工序STa時,便會如圖12(a)所示,在基板WA上沉積有沉積物DPF。在接著實行工序STb時,便會如圖12(b)所示,藉由沉積物DPF中之氟碳來進一步地蝕刻第1區域R1。然後,在工序ST1實行結束時,基板WA便會被加工為圖13所示之形狀。亦即,藉由工序ST1,第1區域R1便會以在基板W內較第2區域R2要深之位置處具有上面的方式來被加以加工。另外,在針對基板WA所適用的工序ST1中,第1區域R1亦可藉由從包含氟碳氣體之處理氣體的電漿來照射至基板WA的氟碳活性基及/或氟活性基來被加以蝕刻。
接著,在實行工序ST2時,便會如圖14所示,在基板WA上形成有沉積物DPC。另外,圖14中,係省略在工序ST1結束後殘留在基板W上的沉積物DPF。接著,在實行工序STb時,便會如圖15(b)所示,藉由沉積物DPF中之氟碳來進一步地蝕刻第1區域R1。藉由實行包含工序ST2與工序ST3的機制1次以上,來進一步地蝕刻第1區域R1,基板WA便會被加工為圖16所示之形狀。另外,在針對基板WA所適用的工序ST3中,第1區域R1亦可藉由從包含氟碳氣體之處理氣體的電漿來照射至基板WA的氟碳活性基及/或氟活性基來被加以蝕刻。
以下,雖已就各種例示實施形態來加以說明,但並不限於上述例示實施形態,亦可進行各種省略、置換及變更。又,亦可組合不同實施形態的要素來形成其他實施形態。
例如,工序ST1、工序ST2及工序ST3亦可分別使用不同電漿處理裝置來實行。又,方法MT中,若是為可形成上述磁場的電漿處理裝置的話,亦可使用另一電漿處理裝置。作為另一電漿處理裝置係例示有與電漿處理裝置1不同的電容耦合型電漿處理裝置、感應耦合型電漿處理裝置或使用所謂微波之表面波來生成電漿的電漿處理裝置。
又,在工序ST2中藉由電磁鐵60而在腔室10內形成的上述磁場除了工序ST2外,亦可在其他工序中於腔室10內來加以生成。亦即,該磁場亦可在工序ST1、工序ST3、工序ST1中之工序STa、工序ST1中之STb、工序ST3中之工序STa以及工序ST3中之STb中之至少一個工序中,於腔室10內來加以生成。
以下,便就為了評價方法MT所進行的實驗來加以說明。另外,本揭露並不限於以下所說明之實驗內容。
實驗中,係使用電漿處理裝置1來對樣品基板實行工序ST2。工序ST2之條件係如下所示:
<工序ST2條件>
‧腔室10內之壓力:10mTorr(1.333)Pa
‧供給至腔室10內之氣體:CH4氣體(25sccm)及Ar氣體(250sccm)
‧第1高頻電力:60MHz、300W
‧第2高頻電力:0W
實驗中,係在樣品基板表面的2個正交之直徑上的各57處中,測量所形成之沉積物的厚度,而從該厚度與工序ST2之實行時間來求得沉積物之沉積速度。然後,求得57處之沉積速度平均值(nm/分鐘)與不均勻度(%)。不均勻度係藉由下數式來求得:(Max-Min)/(Average×2)×100,在此,Max係57處之沉積 速度的最大值,Min係57處之沉積速度的最小值,Average係57處之沉積速度的平均值。實驗結果,在57處之沉積速度的平均值與不均勻度分別為21.2nm、2.0%。
又,在比較實驗中,除了未藉由在電磁鐵60來在腔室10內形成磁場的點之外,都以與上述實驗相同條件來在樣品基板上形成沉積物。比較實驗中,亦同樣地求得57處之沉積速度的平均值(nm/分鐘)與不均勻度(%)。比較實驗的結果,在57處之沉積速度的平均值與不均勻度分別為21.4nm、5.0%。
以上實驗及比較實驗的結果,確認到根據工序ST2,便可降低在基板面內之沉積物的沉積速率之不均勻度。亦即,確認到藉由使用電磁鐵60來在腔室10內形成磁場,便可提高沉積物朝基板上的沉積速率之面內均勻性。
由上述說明,本揭露的各種實施形態係以說明的為目的而在本說明書中被加以說明,但應能理解應可在不超出本揭露之範圍及主旨下來進行各種變更。從而,本說明書所揭露之各種實施形態並非意圖進行限制,其實際範圍及主旨係藉由添附申請專利範圍來加以表示。
ST1:蝕刻第1區域
ST2:在基板上形成沉積物(形成磁場分布)
ST3:進一步地蝕刻第1區域
ST4:是否滿足停止條件

Claims (13)

  1. 一種方法,係相對於由與基板之第1區域之材料不同的材料所形成的該基板之第2區域來選擇性地蝕刻該第1區域的方法;包含:
    以該第1區域會在基板內較第2區域要更深的位置處提供其上面的方式,藉由電漿蝕刻來蝕刻該第1區域之工序;
    在蝕刻該第1區域之該工序實行後,在將基板配置於其中的電漿處理裝置之腔室內,藉由生成碳化氫氣體之電漿,來在該基板上形成包含碳之沉積物的工序;以及
    在生成碳化氫氣體之電漿的該工序實行後,藉由電漿蝕刻來進一步地蝕刻該第1區域的工序;
    在形成沉積物之該工序中,係藉由電磁鐵,來形成有在該基板之邊緣側上會具有較該基板之中心上的水平成分要大的水平成分之磁場分布。
  2. 如申請專利範圍第1項之方法,其中該第1區域係由含矽材料所形成。
  3. 如申請專利範圍第2項之方法,其中該第2區域係由含金屬材料所形成。
  4. 如申請專利範圍第2項之方法,其中該第1區域係由氧化矽所形成,該第2區域係由氮化矽所形成。
  5. 如申請專利範圍第2至4項中任一項之方法,其中蝕刻該第1區域之該工序中,係在將該基板配置於其中之該腔室內,生成包含氟碳氣體之處理氣體的電漿。
  6. 如申請專利範圍第5項之方法,其中進一步地蝕刻該第1區域之該工序中,係在將該基板配置於其中的該腔室內,生成包含氟碳氣體之處理氣體的電漿。
  7. 如申請專利範圍第2至4項中任一項之方法,其中蝕刻該第1區域之該工序以及進一步地蝕刻該第1區域之該工序的至少一者係包含:
    為了在該基板上形成包含氟碳之沉積物,而在將該基板配置於其中之該腔室內,生成包含氟碳氣體之處理氣體的電漿之工序;以及
    為了使藉由將惰性氣體離子供給至該基板而在該基板上所形成的該沉積物中之氟碳與該含矽材料反應,來蝕刻該第1區域,而在該腔室內生成惰性氣體之電漿的工序。
  8. 如申請專利範圍第1至7項中任一項之方法,其中形成沉積物之該工序與進一步地蝕刻該第1區域之該工序會交互地反覆。
  9. 一種電漿處理裝置,係用以相對於由與基板之第1區域之材料不同的材料所形成的該基板之第2區域來選擇性地蝕刻該第1區域的電漿處理裝置,具備:
    腔室;
    基板支撐台,係具有下部電極,並設置於該腔室內;
    氣體供給部,係以將氣體供給至該腔室內之方式來加以構成;
    高頻電源,係以為了使該腔室內之氣體激發來產生高頻電力的方式來加以構成;
    電磁鐵,係以在該腔室之內部空間中形成磁場的方式來加以構成;
    驅動電源,係以將電流供給至該電磁鐵的方式來加以構成;以及
    控制部,係以控制該氣體供給部、該高頻電源以及該驅動電源之方式來加以構成;
    該控制部係構成為實行下述工序:
    為了以該第1區域會在基板內較第2區域要更深的位置處提供其上面的方式,藉由電漿蝕刻來蝕刻該第1區域,而實行包含控制該氣體供給部及該高頻電源的第1控制;
    在蝕刻該第1區域後,為了在該第2區域上形成包含碳之沉積物,而實行包含以生成碳化氫氣體之電漿的方式來控制該氣體供給部及該高頻電源的第2控制;以及
    在該第2區域上形成該沉積物後,為了藉由電漿蝕刻來進一步地蝕刻該第1區域,而實行包含控制該氣體供給部及該高頻電源的第3控制;
    該第2控制係包含在該碳化氫氣體之該電漿的生成中,以藉由該電磁鐵,來形成有在該基板之邊緣側上會具有較該基板之中心上的水平成分要大的水平成分之磁場分布的方式來控制該驅動電源。
  10. 如申請專利範圍第9項之電漿處理裝置,其中該第1區域係由含矽材料所形成;
    該第1控制係包含以在該腔室內生成包含氟碳氣體之處理氣體的電漿之方式來控制該氣體供給部及該高頻電源。
  11. 如申請專利範圍第10項之電漿處理裝置,其中該第3控制係包含以在該腔室內生成包含氟碳氣體之處理氣體的電漿之方式來控制該氣體供給部及該高頻電源。
  12. 如申請專利範圍第9項之電漿處理裝置,其中該第1區域係由含矽材料所形成;
    該第1控制及該第3控制中之至少一者係包含:
    為了在該基板上形成包含氟碳之沉積物,而在腔室內,以生成包含氟碳氣體之處理氣體的電漿之方式來控制該氣體供給部及該高頻電源之控制;以及
    為了使藉由將惰性氣體離子供給至該基板而在該基板上所形成的該沉積物中之氟碳與該含矽材料反應,來蝕刻該第1區域,而在該腔室內生成惰性氣體之電漿的方式,來控制該氣體供給部及該高頻電源之控制。
  13. 如申請專利範圍第9至12項中任一項之電漿處理裝置,其中該控制部會交互地反覆該第2控制與該第3控制。
TW108129968A 2018-08-24 2019-08-22 蝕刻方法及電漿處理裝置 TWI811432B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-157570 2018-08-24
JP2018157570A JP7110034B2 (ja) 2018-08-24 2018-08-24 エッチングする方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
TW202027161A true TW202027161A (zh) 2020-07-16
TWI811432B TWI811432B (zh) 2023-08-11

Family

ID=69592629

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108129968A TWI811432B (zh) 2018-08-24 2019-08-22 蝕刻方法及電漿處理裝置

Country Status (6)

Country Link
US (1) US11710643B2 (zh)
JP (1) JP7110034B2 (zh)
KR (1) KR20210041072A (zh)
CN (1) CN112567502A (zh)
TW (1) TWI811432B (zh)
WO (1) WO2020040005A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797739B (zh) * 2020-09-18 2023-04-01 日商東京威力科創股份有限公司 蝕刻方法、電漿處理裝置及基板處理系統
TWI828187B (zh) * 2021-06-22 2024-01-01 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230369064A1 (en) * 2022-05-12 2023-11-16 Tokyo Electron Limited Pre-etch treatment for metal etch

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0777267A1 (en) * 1995-11-28 1997-06-04 Applied Materials, Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
JPH09270416A (ja) * 1996-03-29 1997-10-14 Sony Corp ドライエッチング装置およびドライエッチング方法
JP2001053061A (ja) * 1999-08-06 2001-02-23 Hitachi Ltd ドライエッチング方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP4643916B2 (ja) * 2004-03-02 2011-03-02 株式会社アルバック 層間絶縁膜のドライエッチング方法及びその装置
US20070246354A1 (en) * 2006-04-19 2007-10-25 Maxim Integrated Products, Inc. Plasma systems with magnetic filter devices to alter film deposition/etching characteristics
JP2010027175A (ja) * 2008-07-23 2010-02-04 Showa Denko HD Singapore Pte Ltd 炭素膜の形成方法、磁気記録媒体の製造方法、及び炭素膜の形成装置
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
JP5830275B2 (ja) * 2011-06-15 2015-12-09 東京エレクトロン株式会社 プラズマエッチング方法
JP6008771B2 (ja) * 2013-01-21 2016-10-19 東京エレクトロン株式会社 多層膜をエッチングする方法
KR20150055473A (ko) * 2013-11-13 2015-05-21 삼성전자주식회사 탄소 함유 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
JP6396699B2 (ja) * 2014-02-24 2018-09-26 東京エレクトロン株式会社 エッチング方法
JP2016136606A (ja) 2015-01-16 2016-07-28 東京エレクトロン株式会社 エッチング方法
JP6689674B2 (ja) * 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
JP6670707B2 (ja) * 2016-08-24 2020-03-25 東京エレクトロン株式会社 基板処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797739B (zh) * 2020-09-18 2023-04-01 日商東京威力科創股份有限公司 蝕刻方法、電漿處理裝置及基板處理系統
TWI828187B (zh) * 2021-06-22 2024-01-01 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置

Also Published As

Publication number Publication date
KR20210041072A (ko) 2021-04-14
JP2020031190A (ja) 2020-02-27
JP7110034B2 (ja) 2022-08-01
US11710643B2 (en) 2023-07-25
TWI811432B (zh) 2023-08-11
WO2020040005A1 (ja) 2020-02-27
US20210335623A1 (en) 2021-10-28
CN112567502A (zh) 2021-03-26

Similar Documents

Publication Publication Date Title
TWI815822B (zh) 電漿處理裝置及電漿處理方法
CN109559968B (zh) 等离子体处理装置
TWI811432B (zh) 蝕刻方法及電漿處理裝置
TWI815828B (zh) 蝕刻方法
CN111105973B (zh) 清洗方法及等离子体处理装置
JP7198609B2 (ja) エッチング方法及びプラズマ処理装置
JP7462444B2 (ja) エッチング方法及びプラズマ処理装置