TW202025454A - 用於三維邏輯及記憶體的配電網 - Google Patents

用於三維邏輯及記憶體的配電網 Download PDF

Info

Publication number
TW202025454A
TW202025454A TW108132033A TW108132033A TW202025454A TW 202025454 A TW202025454 A TW 202025454A TW 108132033 A TW108132033 A TW 108132033A TW 108132033 A TW108132033 A TW 108132033A TW 202025454 A TW202025454 A TW 202025454A
Authority
TW
Taiwan
Prior art keywords
transistor
power rails
power
conductive planes
electrically coupled
Prior art date
Application number
TW108132033A
Other languages
English (en)
Other versions
TWI813762B (zh
Inventor
拉爾斯 利布曼
安東 德維利耶
傑佛瑞 史密斯
坎達巴拉 泰伯利
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202025454A publication Critical patent/TW202025454A/zh
Application granted granted Critical
Publication of TWI813762B publication Critical patent/TWI813762B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • H01L27/0211Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique adapted for requirements of temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Image Generation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提出一種半導體元件。半導體元件包括電晶體堆疊,電晶體堆疊具有堆疊在基板上方之複數電晶體對。複數電晶體對之每一電晶體對包括彼此堆疊之n型電晶體及p型電晶體,複數電晶體對具有堆疊在基板上方並且電耦接至複數電晶體對之閘極結構之複數閘極電極、及堆疊在基板上方並且電耦接至複數電晶體對之源極區及汲極區之複數源極∕汲極(S/D)局部內連線。半導體元件更包括形成在基板上方之一或更多導電平面。一或更多導電平面位於電晶體堆疊附近、跨越電晶體堆疊之高度及電耦接至電晶體堆疊。

Description

用於三維邏輯及記憶體的配電網
本揭示內容關於包括半導體元件、電晶體及積體電路之微電子元件,包括微製造之方法。 [相關申請案之交互參照]
本申請案主張於2018年9月5日提出之美國臨時專利申請案第62/727,098號之優先權,其完整內容係併入本申請案中之參考資料。
在半導體元件(特別是在微觀等級上)之製造中,會執行各種製造處理,例如成膜沉積、蝕刻遮罩產生、圖案化、材料蝕刻及移除、以及摻雜處理。這些處理被重複執行,以在基板上形成期望的半導體元件成分。在歷史上,利用微製造,已經製造電晶體在一平面中,且接線∕金屬化係形成在主動元件平面上方,因此已經被表徵為二維(2D)電路或2D製造。在微縮上之努力已大幅增加在2D電路中每單位面積之電晶體數目,但當微縮進入個位數奈米半導體元件製造節點時,在微縮上之努力正面臨更大的挑戰。半導體元件製造者已表達了對於電晶體堆疊於彼此上之三維(3D)半導體元件之需求。
本文中之技術提供元件架構及處理方法,有助於實現電晶體之3D微縮。這樣的技術提供了電力牆(power-wall)結構,以支持單晶片積體式3D邏輯或記憶體元件。這樣的設計使電力能夠在整個元件堆疊之所有層別上被取得。可透過埋入式電力軌(power-rail)從上方(如同在習知的電力輸送網路中)或從下方將電力饋入垂直電力牆中。這樣的埋入式電力軌(或習知的自上而下的電源供應網路(PDN))可配置為平行於垂直電力牆或垂直於垂直電力牆而延伸。這樣的結構可用於邏輯或記憶體元件。
應當注意,本文中所述之製造步驟之順序係為了清楚說明之目的而呈現。整體而言,這些步驟可以任何合適的順序進行。此外,雖然本文中之不同特徵、技術、配置等之每一者可能是在本揭示內容之不同處加以討論,但應當注意,每一概念可彼此獨立執行或彼此結合執行。據此,本揭示內容可以許多不同方式實現與檢視。
應當注意,發明內容部分並未明確說明本揭露內容或所請發明之每一實施例及∕或漸增的新穎實施態樣。反之,此發明內容僅提供不同實施例及勝過習知技術之相應新穎處之初步討論。對於本發明及實施例之附加細節及∕或可能觀點,可參見以下進一步討論之本揭示內容之實施方式部分及對應圖式。
根據本揭示內容之一態樣,提出一種半導體元件。該半導體元件包括:一電晶體堆疊,具有堆疊在一基板上方之複數電晶體對。該複數電晶體對之每一電晶體對包括彼此堆疊之一n型電晶體及一p型電晶體。該複數電晶體對具有堆疊在該基板上方並且電耦接至該複數電晶體對之複數閘極結構之複數閘極電極、及堆疊在該基板上方並且電耦接至該複數電晶體對之複數源極區及複數汲極區之複數源極∕汲極(S/D)局部內連線。該半導體元件更包括:一或更多導電平面,形成在該基板上方。該一或更多導電平面位於該電晶體堆疊附近、跨越該電晶體堆疊之高度及電耦接至該電晶體堆疊。
該半導體元件可包括:複數電力軌,位於該電晶體堆疊下方。在某些實施例中,該一或更多導電平面每一者位於一各別電力軌上方及沿著該各別電力軌延伸,以形成一連續連接。在某些實施例中,該一或更多導電平面每一者位於該複數電力軌之二或更多電力軌上方及與該複數電力軌之該二或更多電力軌交叉,以形成二或更多連接點。
在某些實施例中,該一或更多導電平面包括一連續橫向結構,以從該一或更多電力軌獲取電力至該電晶體堆疊中。在某些實施例中,該一或更多導電平面包括一分段中斷的結構,該分段中斷的結構留下複數通道並且從該一或更多電力軌獲取電力至該電晶體堆疊中。
在某些實施例中,該複數電力軌位於該一或更多導電平面上方。該一或更多導電平面每一者係配置為沿著一各別電力軌,以形成一連續連接。
在該半導體元件中,該複數S/D局部內連線之一或多者係電耦接至該一或更多導電平面。此外,複數垂直接觸窗形成在垂直於該基板之一方向並且電耦接至該複數S/D局部內連線。該複數垂直接觸窗之至少一者係電耦接至一輸出訊號。
在該半導體元件中,該n型電晶體及該p型電晶體共享與該複數閘極電極之一者電耦接之一閘極結構。
根據本揭示內容之另一態樣,提出一種半導體元件之形成方法。該方法包括:形成一電晶體堆疊,該電晶體堆疊包括堆疊在一基板上方之複數電晶體對,其中該複數電晶體對之每一電晶體對包括彼此堆疊之一n型電晶體及一p型電晶體。該複數電晶體對具有堆疊在該基板上方並且電耦接至該複數電晶體對之複數閘極結構之複數閘極電極、及堆疊在該基板上方並且電耦接至該複數電晶體對之複數源極區及複數汲極區之複數源極∕汲極(S/D)局部內連線。該方法亦包括:形成一或更多導電平面在該基板上方。該一或更多導電平面位於該電晶體堆疊附近、跨越該電晶體堆疊之高度及電耦接至該電晶體堆疊。
在某些實施例中,該方法可包括:形成複數電力軌。在某些實施例中,該複數電力軌位於該電晶體堆疊下方。該一或更多導電平面每一者沿著該複數電力軌之一各別電力軌延伸,以形成一連續連接。在某些實施例中,該複數電力軌位於該電晶體堆疊下方,其中該一或更多導電平面每一者位於該複數電力軌之二或更多電力軌上方及與該複數電力軌之該二或更多電力軌交叉,以形成二或更多連接點。在某些實施例中,該複數電力軌位於該一或更多導電平面上方,其中該一或更多導電平面每一者沿著該複數電力軌之一各別電力軌延伸,以形成一連續連接。
根據本揭示內容之又另一態樣,一種半導體元件包括:複數電晶體對,堆疊在一基板上方,其中該複數電晶體對之每一電晶體對包括彼此堆疊之一n型電晶體及一p型電晶體。該元件亦包括:複數閘極電極,堆疊在該基板上方並且電耦接至該複數電晶體對之複數閘極結構。在該元件中,複數源極∕汲極(S/D)局部內連線係堆疊在該基板上方並且電耦接至該複數電晶體對之複數源極區及複數汲極區。此外,一或更多導電平面係形成在該基板上方,其中該一或更多導電平面位於該複數電晶體對附近、跨越該複數電晶體對之高度及電耦接至該複數電晶體對。該元件更包括:複數電力軌,位於該基板上方並且電耦接至該一或更多導電平面。
以下揭示內容提供許多不同實施例或範例,用以實施所述標的之不同特徵。構件及配置之特定範例描述如下,以簡化本揭示內容。當然,這些僅為範例,而非受限於此。此外,在本揭示內容之各種範例中,元件符號及∕或字母可能重複。此重複是為了簡化與清晰之目的,其本身並非限定所討論的各種實施例及∕或配置之間之關係。
再者,為了方便說明,在本文中可能使用空間相對用語,例如「下方(beneath)」、「之下(below)」、「下部 (lower)」、「之上(above)」、「上部(upper)」等,以描述圖中所示之一元件或特徵與另一元件或特徵之間之關係。這些空間相對用語之用意為,除了圖中所示之方向外,在使用或操作中更包括設備之不同方向。設備可以其它方式定向(轉90度或其它方向),且本文中所使用之空間相對用語可據此作類似解釋。
整篇說明書中提到的「一實施例」或「實施例」表示關於該實施例所描述之特定特徵、結構、材料、或特性係包含於至少一實施例中,但不代表其存在每一實施例中。因此,在說明書不同地方出現「在一實施例中」用語時,未必指同一實施例。再者,特定特徵、結構、材料或特性可在一或更多實施例中以任何合適方式結合。
本文中之技術提出新穎的電源供應網路(PDN),可使用堆疊式電晶體而用於(例如)3D積體式邏輯。
互補式FET(CFET)元件為三維堆疊式邏輯電晶體,其中NMOS或PMOS電晶體位於其互補構件上方。這樣的配置使邏輯標準單元以及SRAM記憶體單元能夠縮減面積並改善佈線壅塞。儘管關鍵尺寸微縮不可避免地達到飽和,但3D積體化(3D integration)是繼續進行半導體微縮之可行選項。當接觸閘極節距由於製造變異性及靜電元件限制而達到其微縮極限時,二維電晶體密度微縮就停止了。即使實驗性的新電晶體設計,例如垂直通道環繞式閘極電晶體,有一天也許能克服這些接觸閘極節距微縮限制,也不能保證使半導體微縮回到正軌。這是因為電阻、電容及可靠度關係限制了導線節距微縮,從而限制了電晶體可接線至電路中之密度。
3D積體化,亦即,複數元件之垂直堆疊,旨在藉由在體積上而不是在面積上增加電晶體密度來克服這些微縮限制。在3D積體式元件中可實現的電路性能之關鍵限制是可將電力傳送至電晶體之有效性。
大多數邏輯晶片是由標準單元中所提供的邏輯基元(logic primitive)所產生。一個示例性標準單元可顯示在圖1A中。圖1A顯示出及或非(And-Or-Invert, AOI)單元100之概要電路圖。本文中之AOI單元100是中等複雜的標準單元,其電晶體被分組成在單元之p-fet側之並聯對、及在CMOS電路之n-fet側之串聯對。例如,p-fet側可包括四個p型電晶體P1-P4,其中P1及P2為並聯連接,P3及P4為並聯連接。n-fet側可包括四個n型電晶體N1-N4,其中N1及N2為串聯連接,N3及N4為串聯連接。AOI單元100電耦接至四個輸入端A-D及一個輸出端Y。四個輸入端A-D其中每一者均耦接至AOI單元100之各別的n型閘極及p型閘極。例如,輸入端A耦接至 N型電晶體N1之n型閘極及p型電晶體P1之p型閘極。此外。 AOI單元100連接至電源電壓VDD,電源電壓VDD耦接至p型電晶體P1及P2之源極區。AOI單元100更連接至接地電壓GND(也稱為VSS),接地電壓GND耦接至n型電晶體N2及N4之源極區。
圖1B是基於非3D積體式CFET呈現所形成之AOI單元100之相關佈局200。圖1B顯示出AOI單元100之佈局之俯視圖。如圖1B所示,佈局200可具有經由離子植入處理而進行摻雜之主動區102。佈局200可具有四個閘極結構104a-104d。佈局200亦包括複數最低層別之金屬層(例如,M0)106a-106f。佈局200可包括複數n-fet源極∕汲極(S/D)局部內連線108a-108d、及複數p-fet源極∕汲極(S/D)局部內連線110a-110d。n-fet源極∕汲極(S/D)局部內連線108及p-fet源極∕汲極(S/D)局部內連線110係藉由複數接觸窗(contact)112a-112e而連接至M0 106。此外,佈局200可包括複數閘極接觸窗A-D,閘極接觸窗A-D連接至閘極結構104及M0以分別存取輸入端A-D。在電路概要圖 1A中顯示本文中所討論之電力輸送,為VDD及GND(亦稱為VSS)。在佈局200之俯視圖中,VDD及VSS電力軌係顯示為寬條,位於佈局200之頂部及底部水平邊緣處。在S/D局部內連線108及110中形成之電力分接頭(未顯示)用於將電晶體之源極區連接至這些電力軌。
令人期待的是,3D積體化藉由堆疊式元件之單晶片積體化而實現,亦即,藉由使用「垂直佈線」(vertical routing)在3D空間中同時製造複數元件。圖2A顯示出這樣的配置並且突顯了其餘的設計及處理複雜性。如圖2A所示,AOI單元100可藉由垂直佈線處理由電晶體堆疊300形成。電晶體堆疊300可具有四個CFET元件302-308,其平行地堆疊成兩組300A-300B。兩組中的每一組更可具有彼此堆疊之兩個CFET元件。例如,組300A可具有堆疊在CFET元件306上方之CFET元件302。每個CFET元件可包括n型電晶體及p型電晶體。n型電晶體及p型電晶體可具有共享的閘極結構。例如,CFET元件302包括n型電晶體N1及p型電晶體P1,如圖1A中所示。四個CFET元件302-308藉由複數內連線310通過垂直佈線而連接。四個CFET元件更耦接至電源電壓VDD、接地電壓VSS及輸出端Y。
藉由堆疊主動電晶體而沒有中間接線層,垂直接線(wiring)技術解決3D積體化中主要的低效率問題,其中所有電晶體可同時進行圖案化及製造。剩下的一個挑戰可顯示在圖2B中。圖2B為根據某些實施例之使用第二垂直佈線技術所形成之AOI單元100之概要圖。如圖2B所示,AOI單元100可由電晶體堆疊400A實現。電晶體堆疊400A包括沿著垂直於基板之方向堆疊成一列之四個CFET元件402-408。四個CFET元件402-408藉由複數內連線410通過垂直佈線而連接。如圖2B所示,在電晶體堆疊400A中,電力連接(VDD(即,正電壓)及GND(即,負電壓,也稱為VSS)兩者)必須到達位於不同層之電晶體。這些連接必須堅固耐用,使電阻最小化(電阻在大量電晶體從電力軌(例如,VDD及VSS)汲取電流時導致電壓降),同時又不佔用過多的空間而減損電晶體密度微縮。圖2C為使用第三垂直佈線技術所形成之AOI單元100之概要圖。如圖2C所示,AOI單元100可由電晶體堆疊400B實現。類似於圖2B中之400A,在電晶體堆疊400B中,電力連接(VDD(即,正電壓)及GND(即,負電壓,也稱為VSS)兩者)必須到達位於不同層之電晶體。
因此,本文中之技術提出了垂直電力牆結構,以支持單晶片積體式3D邏輯或記憶體元件。這樣的結構使電力能夠在整個元件堆疊之所有層別上被取得。此外,可透過埋入式電力軌從上方(如同在習知的電力輸送網路中)或從下方將電力饋入或輸送至垂直電力牆。這樣的埋入式電力軌(或習知的自上而下的PDN)可平行於垂直電力牆或垂直於垂直電力牆而延伸。電力牆可為連續的垂直電力牆。
圖3A為使用改良的垂直佈線技術所形成之AOI單元100之概要圖,其可與電力牆結構結合。如圖3A所示,AOI單元100可由電晶體堆疊500實現。電晶體堆疊500包括沿著垂直於基板之方向堆疊成一列之四個CFET元件502-508。四個CFET其中每一者皆包括一電晶體對。電晶體對包括n型電晶體及p型電晶體。例如,CFET 502包括n型電晶體N3及p型電晶體P3。四個CFET元件502-508藉由複數內連線510通過垂直佈線而連接。在一些實施例中,複數內連線510可包括複數垂直接觸窗、複數源極∕汲極(S/D)局部內連線、及複數閘極電極,如圖 3B所示。
圖3B為基於3D積體式電晶體堆疊600所形成之AOI單元100之概要圖。電晶體堆疊600可包括形成在基板601上方之四個CFET元件602-608。四個CFET元件其中每一者可包括由n型電晶體及p型電晶體所形成之一電晶體對。例如,CFET元件602可包括耦接至輸入端D之n型電晶體N3、及耦接至輸入端D之p型電晶體P3。n型電晶體及p型電晶體可具有共享的閘極結構。n型電晶體位於p型電晶體上方。閘極結構可圍繞n型電晶體之n型通道區及p型電晶體之p型通道區。通道區域可具有片狀、線狀或條狀構造。n型電晶體可具有分別位於n型通道區兩端之源極區及汲極區,其中閘極結構圍繞n型通道區,並且位於n型電晶體之源極區與汲極區之間。p型電晶體可具有分別位於p型通道區兩端之源極區及汲極區,其中閘極結構圍繞p型通道區,並且位於p型電晶體之源極區與汲極區之間。此外,閘極結構可電耦接至閘極電極。源極區及汲極區可分別具有源極局部內連線及汲極局部內連線。
例如,如圖3B所示,n型電晶體N3及p型電晶體P3具有共享的閘極結構610。n型電晶體N3具有位於n型通道區兩端之源極區612及汲極區614。n型通道區被閘極結構610圍繞,其中閘極結構610位於源極區612與汲極區614之間。p型電晶體P3具有源極區616及在閘極結構610後方之汲極區。源極區616及汲極區位於p型通道區之兩端。類似地,p型通道區被閘極結構610圍繞,其中閘極結構610位於p型電晶體P3之源極區616與汲極區之間。
閘極結構610可具有一或更多閘極電極618。閘極電極618可位於閘極結構610之兩端。n型電晶體N3之源極區612及汲極區614可具有源極局部內連線622及汲極局部內連線620。類似地,p型電晶體P3之源極區616可具有源極局部內連線624,且p型電晶體P3之汲極區可具有位於閘極電極618後方之汲極局部內連線。應當注意,電晶體N1之源極局部接觸窗632及電晶體N4之源極局部內連線636耦接至接地電壓VSS(或GND),且電晶體P1之源極局部接觸窗634耦接至電源電壓VDD。
仍參考圖3B,電晶體堆疊600可具有複數垂直接觸窗。垂直接觸窗可耦接至源極∕汲極(S/D)局部內連線、輸入端、輸出端、VSS或VDD。例如,電晶體堆疊600可包括垂直接觸窗628a-628f。垂直接觸窗628a連接電晶體P3之源極局部內連線624及電晶體P4之源極局部接觸窗626。垂直接觸窗628d耦接至電晶體N2之汲極局部接觸窗630,並且做為n型輸出端子。
應當注意,圖3B僅為一範例。電晶體堆疊600可能具有任何數量的CFET元件堆疊在基板601上方。CFET元件可藉由複數介電層(未顯示)而彼此間隔開。CFET元件可具有n型電晶體及p型電晶體。在一些實施例中,n型電晶體可位於p型電晶體上方。在一些實施例中,p型電晶體可位於n型電晶體上方。此外,n型電晶體及p型電晶體可藉由絕緣層而分隔開。再者,應當注意,源極區及閘極結構藉由絕緣層而分隔開,且汲極區及閘極結構也藉由絕緣層而分隔開。
圖3A及3B中所顯示之電晶體堆疊具有優於圖2A-2C中所顯示之電晶體堆疊之優勢。如圖3B所示,電晶體堆疊600可具有沿著電晶體堆疊之側部所形成之VDD及GND電力分接頭。例如,VDD連接可建立在最低的p型電晶體層(即,電晶體P1)處,並且顯示為在閘極堆疊後方向左延伸。兩個GND連接可建立至n型電晶體N2及N4。這兩個GND電力分接頭係顯示為向右延伸(一個在閘極堆疊前方,一個在後方)。藉由沿著電晶體堆疊之側部形成電力分接頭,隨後形成的電力牆可分接進入電晶體堆疊之任何層別,以將電力汲取至元件中。
本文中克服了上述挑戰之技術可顯示在圖4中。圖4顯示出垂直電力牆之示例性配置。如圖4所示,可形成兩個垂直電力牆638及640。垂直電力牆638及640可為跨越電晶體堆疊(例如,電晶體堆疊600)之整個高度之垂直連續的電力平面(或導電平面),並且可分接進入電晶體堆疊之任何層以將電力汲取至元件中。例如,垂直電力牆638可耦接至VDD電力分接頭,且垂直電力牆640可耦接至兩個GND電力分接頭。因此,基於電力牆與電力分接頭之間之連接,可將電力汲取至電晶體堆疊600中。
垂直電力牆可由鎢、釕、銅、鈷、鋁或其它合適的導電材料製成。可基於圖案化處理及沉積處理之組合,在介電質堆疊中形成垂直電力牆。圖案化處理可包括微影處理及蝕刻處理,其中微影處理形成光阻圖案,且蝕刻處理將圖案轉移到介電質堆疊中以形成開口。隨後可採用沉積處理,以將導電材料沉積至開口中並形成電力牆。沉積處理可包括化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、擴散或其它合適的沉積處理。在一些實施例中,可在形成電晶體堆疊600之後形成電力牆。在一些實施例中,可在形成電晶體堆疊600之前形成電力牆。
圖5顯示出垂直電力牆連接至埋入式電力軌之第一實施例。如圖5所示,兩個埋入式電力軌642及644可以連續連接的方式、平行於垂直電力牆638及640延伸,其中每一電力牆位於各別的電力軌上方並沿著各別的電力軌延伸,以形成連續的連接。電力軌提供VDD及VSS電壓,並且可由鎢、釕、鈷或其它導電材料製成。藉由連接至電力軌,電力牆將電力從電力軌汲取至電晶體堆疊600中。
圖6顯示出垂直電力牆連接至埋入式電力軌之第二實施例。如圖6所示,垂直電力牆638及640可垂直於各別的電力軌而延伸、連接於交替的交叉點處。因此,每一垂直電力牆位於兩個電力軌642及644之上方並與其交叉,以便形成二或更多連接點。圖6所示之垂直佈局提供了更均勻的電力網格,改善了晶片上之應力分佈及熱負載。在一些實施例中,局部接點可形成在電力軌與電力牆之間。例如,局部接點646可位於電力軌642與電力牆638之間。
圖7顯示出垂直電力牆連接至埋入式電力軌之第三實施例。如圖7所示,電力軌642及644可位於垂直電力牆638及640上方。每一電力牆沿著各別的電力軌延伸,以形成連續的連接。
在本揭示內容中,一組垂直電力牆延伸在堆疊式3D邏輯或記憶體元件之整個高度上,從而允許在堆疊中之任何電晶體層處與電力分接頭直接接觸。這樣的配置帶來一些好處。例如,電力牆可縮小元件之空間,因為所需的內連線更少。此外,電力牆可減少在電晶體堆疊與電力軌之間之內連線電阻。
在本揭示內容中,本文中之電力牆可從上方連接至習知電力軌、或從下方連接至埋入式電力軌。定位可延展∕延伸而與埋入式或習知電力軌為平行而具有連續連接、或為垂直而在交替的交叉點處形成具有選擇性連接之電力網格。這樣的結構可形成為連續的橫向結構、或分段中斷的結構而留下用於信號接線之通道。應當注意,雖然本文中之示例性實施例聚焦於3D邏輯結構,但是熟悉此項技藝者可理解如何將本文中之技術應用於3D記憶體結構,例如堆疊式SRAM。在本揭示內容中,AOI單元僅為範例。所揭示的電力牆可應用於其它邏輯結構、類比結構、記憶體結構或其它半導體元件。
於以上敘述中,已提出具體細節,例如處理系統之特定幾何結構及其中所使用之各種構件及處理之描述。然而,應當理解,本文中之技術可實施於背離這些具體細節之其它實施例中,且這樣的細節係用於說明而非用於限制之目的。本文中所揭示之實施例已參考附圖加以描述。類似地,為了說明之目的,已提出特定數目、材料及配置以提供完整的理解。僅管如此,實施例可在沒有這樣的具體細節下實施。具有實質上相同的功能性結構之元件以類似的參考符號表示,因此可省略任何冗餘的描述。
各種技術已描述為多個分離的操作,以助於理解各種實施例。描述的順序不應被解釋為暗示這些操作係必然順序相關的。事實上,這些操作不需以陳述的順序加以執行。所述的操作可以不同於所述實施例之順序來執行。在額外的實施例中,可執行各種額外操作、及∕或可省略所述的操作。
本文中所使用之「基板」或「目標基板」一般意指根據本發明進行處理之物件。基板可包含元件之任何材料部分或結構,尤其是半導體或其它電子元件,且例如可為基底基板結構,例如半導體晶圓、光罩、或在基底基板結構之上或覆蓋基底基板結構之一層,例如薄膜。因此,基板不限於任何特定的基底結構、底層或覆蓋層、圖案化或未圖案化,而是設想為包括任何這樣的層或基底結構、以及層及∕或基底結構之任何組合。描述可能提及特定類型的基板,但此僅用於說明之目的。
熟悉此項技藝者亦將了解,可對上述技術之操作做出許多變化,但仍可達到本發明之相同目標。這樣的變化應被本揭露內容之範圍所涵蓋。因此,本發明實施例之以上說明並非限制性的。本發明實施例之任何限制係呈現於下列申請專利範圍中。
100:及或非(AOI)單元 102:主動區 104a~104d:閘極結構 106a~106f:金屬層 108a~108d:局部內連線 110a~110d:局部內連線 112a~112e:接觸窗 200:佈局 300:電晶體堆疊 300A~300B:組 302~308:CFET元件 310:內連線 400A~400B:電晶體堆疊 402~408:CFET元件 410:內連線 500:電晶體堆疊 502~508:CFET元件 510:內連線 600:電晶體堆疊 601:基板 602~608:CFET元件 610:閘極結構 612:源極區 614:源極區 616:源極區 618:閘極電極 620:汲極局部內連線 622:源極局部內連線 624:源極局部內連線 626:源極局部接觸窗 628a~628f:垂直接觸窗 630: 汲極局部接觸窗 632:源極局部接觸窗 634:源極局部接觸窗 636:源極局部內連線 638:電力牆 640:電力牆 642:電力軌 644:電力軌 646:局部接點 A~D:輸入端 GND:接地電壓 N1~N4:n型電晶體 P1~P4:p型電晶體 VDD:電源電壓 VSS:接地電壓 Y:輸出端
根據以下的實施方式並結合附圖,可最佳地理解本揭示內容之態樣。應當注意,根據工業中之標準實務,各種特徵並未按比例繪製。實際上,為了清楚討論,可能任意地放大或縮小各種特徵之尺寸。
圖1A為根據某些實施例之及或非22(AOI22)單元之概要電路圖。
圖1B為根據某些實施例之AOI22單元之互補式場增強電晶體(CFET)實行例之由上而下佈局視圖。
圖2A為根據某些實施例之使用第一垂直佈線技術所形成之AOI22單元之概要圖。
圖2B為根據某些實施例之使用第二垂直佈線技術所形成之AOI22單元之概要圖。
圖2C為根據某些實施例之使用第三垂直佈線技術所形成之AOI22單元之概要圖。
圖3A為根據某些實施例之使用垂直佈線技術所形成之AOI22單元之概要圖。
圖3B為根據某些實施例之基於3D積體式CFET堆疊所形成之AOI22單元之概要圖。
圖4為根據某些實施例之耦接至AOI22單元之電力牆結構之概要圖。
圖5為根據某些實施例之在電力牆結構與電力軌結構之間之第一連接配置之概要圖。
圖6為根據某些實施例之在電力牆結構與電力軌結構之間之第二連接配置之概要圖。
圖7為根據某些實施例之在電力牆結構與電力軌結構之間之第三連接配置之概要圖。
600:電晶體堆疊
632:源極局部接觸窗
634:源極局部接觸窗
636:源極局部內連線
638:電力牆
640:電力牆
A~D:輸入端
N1~N4:n型電晶體
P1~P4:p型電晶體
VDD:電源電壓
VSS:接地電壓

Claims (20)

  1. 一種半導體元件,包括: 一電晶體堆疊,具有堆疊在一基板上方之複數電晶體對,其中該複數電晶體對之每一電晶體對包括彼此堆疊之一n型電晶體及一p型電晶體,該複數電晶體對具有堆疊在該基板上方並且電耦接至該複數電晶體對之複數閘極結構之複數閘極電極、及堆疊在該基板上方並且電耦接至該複數電晶體對之複數源極區及複數汲極區之複數源極∕汲極(S/D)局部內連線;及 一或更多導電平面,形成在該基板上方,該一或更多導電平面位於該電晶體堆疊附近、跨越該電晶體堆疊之高度及電耦接至該電晶體堆疊。
  2. 如請求項1之半導體元件,更包括: 複數電力軌,位於該電晶體堆疊下方,其中該一或更多導電平面每一者位於該複數電力軌之一各別電力軌上方及沿著該複數電力軌之該各別電力軌延伸,以形成一連續連接。
  3. 如請求項1之半導體元件,更包括: 複數電力軌,位於該電晶體堆疊下方,其中該一或更多導電平面每一者位於該複數電力軌之二或更多電力軌上方及與該複數電力軌之該二或更多電力軌交叉,以形成二或更多連接點。
  4. 如請求項2之半導體元件,其中該一或更多導電平面包括一連續橫向結構,以從該複數電力軌獲取電力至該電晶體堆疊中。
  5. 如請求項2之半導體元件,其中該一或更多導電平面包括一分段中斷的結構,該分段中斷的結構具有配置於其中之一或更多通道,該分段中斷的結構用以產生由該複數電力軌至該電晶體堆疊中之一導電路徑。
  6. 如請求項1之半導體元件,更包括: 複數電力軌,該複數電力軌位於該一或更多導電平面上方,該一或更多導電平面每一者係配置為沿著該複數電力軌之一各別電力軌,以形成一連續連接。
  7. 如請求項1之半導體元件,其中該複數S/D局部內連線之一或多者係電耦接至該一或更多導電平面。
  8. 如請求項1之半導體元件,更包括: 複數垂直接觸窗,形成在垂直於該基板之一方向並且電耦接至該複數S/D局部內連線,該複數垂直接觸窗之至少一者係電耦接至一輸出訊號。
  9. 如請求項1之半導體元件,其中該n型電晶體及該p型電晶體共享與該複數閘極電極之一者電耦接之一閘極結構。
  10. 一種半導體元件之形成方法,包括: 形成一電晶體堆疊,該電晶體堆疊包括堆疊在一基板上方之複數電晶體對,其中該複數電晶體對之每一電晶體對包括彼此堆疊之一n型電晶體及一p型電晶體,該複數電晶體對具有堆疊在該基板上方並且電耦接至該複數電晶體對之複數閘極結構之複數閘極電極、及堆疊在該基板上方並且電耦接至該複數電晶體對之複數源極區及複數汲極區之複數源極∕汲極(S/D)局部內連線;及 形成一或更多導電平面在該基板上方,該一或更多導電平面位於該電晶體堆疊附近、跨越該電晶體堆疊之高度及電耦接至該電晶體堆疊。
  11. 如請求項10之半導體元件之形成方法,更包括: 形成複數電力軌,該複數電力軌位於該電晶體堆疊下方,該一或更多導電平面每一者沿著該複數電力軌之一各別電力軌延伸,以形成一連續連接。
  12. 如請求項10之半導體元件之形成方法,更包括: 形成複數電力軌,該複數電力軌位於該電晶體堆疊下方,該一或更多導電平面每一者位於該複數電力軌之二或更多電力軌上方及與該複數電力軌之該二或更多電力軌交叉,以形成二或更多連接點。
  13. 如請求項10之半導體元件之形成方法,更包括: 形成複數電力軌,該複數電力軌位於該一或更多導電平面上方,該一或更多導電平面每一者沿著該複數電力軌之一各別電力軌延伸,以形成一連續連接。
  14. 如請求項10之半導體元件之形成方法,其中該一或更多導電平面包括一連續橫向結構,以從複數電力軌獲取電力至該電晶體堆疊中。
  15. 如請求項10之半導體元件之形成方法,其中該一或更多導電平面包括一分段中斷的結構,該分段中斷的結構留下複數通道並且從複數電力軌獲取電力至該電晶體堆疊中。
  16. 如請求項10之半導體元件之形成方法,其中該複數S/D局部內連線之一或多者係電耦接至該一或更多導電平面。
  17. 如請求項10之半導體元件之形成方法,更包括: 形成複數垂直接觸窗,該複數垂直接觸窗係配置在垂直於該基板之一方向並且電耦接至該複數S/D局部內連線,該複數垂直接觸窗之至少一者係電耦接至一輸出訊號。
  18. 一種半導體元件,包括: 複數電晶體對,堆疊在一基板上方,該複數電晶體對之每一電晶體對包括彼此堆疊之一n型電晶體及一p型電晶體; 複數閘極電極,堆疊在該基板上方並且電耦接至該複數電晶體對之複數閘極結構; 複數源極∕汲極(S/D)局部內連線,堆疊在該基板上方並且電耦接至該複數電晶體對之複數源極區及複數汲極區; 一或更多導電平面,形成在該基板上方,該一或更多導電平面位於該複數電晶體對附近、跨越該複數電晶體對之高度及電耦接至該複數電晶體對;及 複數電力軌,位於該基板上方並且電耦接至該一或更多導電平面。
  19. 如請求項18之半導體元件,其中該複數電力軌位於該一或更多導電平面上方,該一或更多導電平面每一者沿著該複數電力軌之一各別電力軌延伸,以形成一連續連接。
  20. 如請求項18之半導體元件,其中該複數電力軌位於該一或更多導電平面下方,該一或更多導電平面每一者位於該複數電力軌之一各別電力軌上方並且沿著該複數電力軌之該各別電力軌延伸以形成一連續連接、或位於該複數電力軌之二或更多電力軌上方並且與該複數電力軌之該二或更多電力軌交叉以形成二或更多連接點。
TW108132033A 2018-09-05 2019-09-05 用於三維邏輯及記憶體的配電網 TWI813762B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862727098P 2018-09-05 2018-09-05
US62/727,098 2018-09-05

Publications (2)

Publication Number Publication Date
TW202025454A true TW202025454A (zh) 2020-07-01
TWI813762B TWI813762B (zh) 2023-09-01

Family

ID=69640436

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108132033A TWI813762B (zh) 2018-09-05 2019-09-05 用於三維邏輯及記憶體的配電網

Country Status (6)

Country Link
US (2) US11114381B2 (zh)
JP (1) JP7406683B2 (zh)
KR (1) KR20210042163A (zh)
CN (1) CN112585752B (zh)
TW (1) TWI813762B (zh)
WO (1) WO2020055642A2 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112585752B (zh) * 2018-09-05 2023-09-19 东京毅力科创株式会社 3d逻辑和存储器的配电网络
JP7364922B2 (ja) * 2018-12-26 2023-10-19 株式会社ソシオネクスト 半導体集積回路装置
US11437376B2 (en) * 2019-05-31 2022-09-06 Tokyo Electron Limited Compact 3D stacked-CFET architecture for complex logic cells
US11495540B2 (en) * 2019-10-22 2022-11-08 Tokyo Electron Limited Semiconductor apparatus having stacked devices and method of manufacture thereof
DE102020125647A1 (de) * 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit Komplementärfeldeffekttransistor des Typs mit vergrabenenen Logikleitern, Layout-Diagramm-Herstellungsverfahren und System dafür
DE102021107950A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum fertigen von halbleiterbauelementen mit unterschiedlichen architekturen und damit gefertigte halbleiterbauelemente
US11322197B1 (en) * 2020-10-21 2022-05-03 Arm Limited Power-gating techniques with buried metal
US11315628B1 (en) * 2020-10-21 2022-04-26 Arm Limited Techniques for powering memory
US11895816B2 (en) * 2020-12-04 2024-02-06 Arm Limited Bitcell architecture
US20220181318A1 (en) * 2020-12-04 2022-06-09 Lars Liebmann Interdigitated device stack
CN113098493B (zh) * 2021-04-01 2023-05-30 长鑫存储技术有限公司 逻辑门电路结构
US11984401B2 (en) 2021-06-22 2024-05-14 International Business Machines Corporation Stacked FET integration with BSPDN
US11678475B2 (en) * 2021-07-21 2023-06-13 International Business Machines Corporation Static random access memory using vertical transport field effect transistors
US20230056694A1 (en) * 2021-08-20 2023-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Revising IC Layout Design to Eliminate Gaps Between Isolation Structures
US20230070119A1 (en) * 2021-09-07 2023-03-09 Macronix International Co., Ltd. Three-dimensional semiconductor structures
US20230128985A1 (en) * 2021-10-22 2023-04-27 International Business Machines Corporation Early backside first power delivery network
US20230253259A1 (en) * 2022-02-07 2023-08-10 Tokyo Electron Limited High performance new channel materials precision aligned 3d cfet device architecture
WO2023191808A1 (en) * 2022-04-01 2023-10-05 Intel Corporation Integrated circuit devices with angled transistors

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
KR100665848B1 (ko) * 2005-03-21 2007-01-09 삼성전자주식회사 적층 타입 디커플링 커패시터를 갖는 반도체 장치
US7408798B2 (en) 2006-03-31 2008-08-05 International Business Machines Corporation 3-dimensional integrated circuit architecture, structure and method for fabrication thereof
WO2013095341A1 (en) 2011-12-19 2013-06-27 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
JP5826716B2 (ja) * 2012-06-19 2015-12-02 株式会社東芝 半導体装置及びその製造方法
US9281044B2 (en) * 2013-05-17 2016-03-08 Micron Technology, Inc. Apparatuses having a ferroelectric field-effect transistor memory array and related method
US9786663B2 (en) * 2013-08-23 2017-10-10 Qualcomm Incorporated Layout construction for addressing electromigration
US20150370948A1 (en) 2014-06-23 2015-12-24 Synopsys, Inc. Memory cells having transistors with different numbers of nanowires or 2d material strips
US9378320B2 (en) * 2014-06-23 2016-06-28 Synopsys, Inc. Array with intercell conductors including nanowires or 2D material strips
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9412845B2 (en) 2014-10-20 2016-08-09 Sandisk Technologies Llc Dual gate structure
US9419003B1 (en) 2015-05-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9997463B2 (en) * 2015-07-01 2018-06-12 Stmicroelectronics, Inc. Modular interconnects for gate-all-around transistors
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11328951B2 (en) * 2016-04-01 2022-05-10 Intel Corporation Transistor cells including a deep via lined wit h a dielectric material
US11018235B2 (en) * 2016-06-13 2021-05-25 Imec Vzw Vertically stacked semiconductor devices having vertical channel transistors
JP7049316B2 (ja) * 2016-08-08 2022-04-06 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
KR102073636B1 (ko) * 2016-09-13 2020-02-05 엘지디스플레이 주식회사 박막 트랜지스터 기판 및 이를 포함하는 표시 장치
CN106298778A (zh) * 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US9947664B1 (en) * 2016-10-14 2018-04-17 International Business Machines Corporation Semiconductor device and method of forming the semiconductor device
EP3343614A3 (en) * 2016-12-29 2018-10-31 IMEC vzw Standard cell for vertical transistors
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
CN112585752B (zh) * 2018-09-05 2023-09-19 东京毅力科创株式会社 3d逻辑和存储器的配电网络

Also Published As

Publication number Publication date
US20210351132A1 (en) 2021-11-11
US11616020B2 (en) 2023-03-28
US20200075489A1 (en) 2020-03-05
WO2020055642A2 (en) 2020-03-19
WO2020055642A3 (en) 2020-06-25
CN112585752A (zh) 2021-03-30
JP2021536675A (ja) 2021-12-27
CN112585752B (zh) 2023-09-19
US11114381B2 (en) 2021-09-07
TWI813762B (zh) 2023-09-01
JP7406683B2 (ja) 2023-12-28
KR20210042163A (ko) 2021-04-16

Similar Documents

Publication Publication Date Title
TWI813762B (zh) 用於三維邏輯及記憶體的配電網
TWI825166B (zh) 用於製造單晶片積體式 3d cmos 邏輯及記憶體的架構設計及製程
JP7486058B2 (ja) 後面電力供給における交換用埋設電力レール
US11201148B2 (en) Architecture for monolithic 3D integration of semiconductor devices
JP2022534858A (ja) 複合ロジックセルのための小型3d積層cfetアーキテクチャ
US11450671B2 (en) Semiconductor apparatus having stacked devices and method of manufacture thereof
US20220375921A1 (en) Highly regular logic design for efficient 3d integration
TW201820320A (zh) 包含三元內容可定址記憶體陣列的電子電路
TW202236592A (zh) 用以將埋入式電力軌連接至前側電力分配網路的電力連接器直通道
US20220181318A1 (en) Interdigitated device stack
US11923364B2 (en) Double cross-couple for two-row flip-flop using CFET
TW202224100A (zh) 用於複數堆疊裝置的電力牆整合
US12002862B2 (en) Inter-level handshake for dense 3D logic integration